TSMC chế nhạo Intel, tuyên bố công nghệ chip vượt trội trong nhiều năm tới

TSMC chế nhạo Intel, tuyên bố công nghệ chip vượt trội trong nhiều năm tới

Nút nguồn: 2945803

TSMC has delivered a hefty smackdown to Intel, claiming that its current 3nm chip production technology is as good as Intel’s plans for its 18A process in 2025.

Phát biểu tại một cuộc gọi thu nhập gần đây của công ty với các nhà đầu tư (thông qua Phần cứng của Tom), TSMC CEO C.C. Wei said, “our internal assessment shows that our N3P technology demonstrated comparable PPA to 18A, my competitors’ technology.”

To decode that, “PPA” stands for Power Performance Area, indicating the three critical measures of chip achievement, namely how much power a chip uses, how much performance it delivers, and how small TSMC can make it. “N3P”, meanwhile, is one of several 3nm-class production nodes TSMC currently offers. Apple is already selling iPhones containing chips based on another 3nm-class TSMC node, known as N3B. “18A” meanwhile is Intel’s next-but-two node, due in 2025.

Intel hiện đang bán CPU dựa trên nút Intel 7, chẳng hạn như CPU làm mới Raptor Lake mới nhất, với Intel 4 sắp ra mắt dưới dạng CPU di động hồ sao băng và Intel 20A sắp ra mắt vào năm 2024. Intel cho biết 18A, phiên bản cải tiến của 20A, sẽ ra mắt vào năm 2025, lúc đó Giám đốc điều hành Intel Pat Gelsinger tuyên bố Intel sẽ có regained “unquestioned leadership” trong công nghệ sản xuất chip.

Chà, không phải theo TSMC, họ cho rằng hoạt động sản xuất silicon hiện tại của họ tiên tiến như những gì Intel đã lên kế hoạch cho năm 2025. Việc so sánh hơi phức tạp một chút, Intel có một số kế hoạch khá kỳ lạ cho nút 20A của mình, đáng chú ý nhất là một tính năng được gọi là cung cấp năng lượng mặt sau. được cho là cho phép mật độ bóng bán dẫn lớn hơn nhiều.

TSMC isn’t planning to add backside power delivery until its second-iteration 2nm-class node in 2026, some two years after Intel. That will no doubt allow Intel to claim that it has, indeed, regained leadership. From a certain point of view.

Of course, C.C. Wei also said during the earnings call that TSMC’s 2nm technology would be superior to Intel 18A when it arrives in 2025, even without backside power delivery. So, both companies are positioning themselves as the clear market leader.

Nhìn chung, có vẻ như đây sẽ là cuộc tranh luận chủ quan giữa TSMC và Intel trong những năm tới xem ai có công nghệ sản xuất tiên tiến nhất. Có thể cả hai công ty đều đưa ra những tuyên bố chính đáng về vị trí lãnh đạo tùy thuộc vào các biện pháp mà bạn ủng hộ.

Nhưng tin tốt là cả hai công ty đều có vẻ tự tin rằng họ có thể tiếp tục nâng cao nghệ thuật sản xuất chip và điều đó có nghĩa là PC của chúng ta sẽ nhanh hơn bao giờ hết. Đó là điều quan trọng, phải không?

Dấu thời gian:

Thêm từ PC Gamer