环境可持续的 FPGA(圣母大学、匹兹堡大学)

环境可持续的 FPGA(圣母大学、匹兹堡大学)

源节点: 3031754

圣母大学和匹兹堡大学发表了题为“REFRESH FPGAs: Sustainable FPGA Chiplet Architectures”的新技术论文。

抽象
“越来越多的人呼吁边缘和云基础设施拥有越来越灵活的计算能力,以满足无处不在的计算设备的计算复杂需求。因此,一个重要的挑战是解决这些下一代计算系统的整体环境影响。为了实现这一目标,有必要从生命周期的角度来看待计算进步的可持续性,以减少这些计算选择造成的温室气体排放等环境影响。不幸的是,数十年来解决计算设备运行能源效率问题的努力都忽略了,在某些情况下甚至加剧了制造这些边缘和云系统(特别是其集成电路)的具体影响。在此期间,FPGA 架构除了尺寸增加之外没有发生显着变化。鉴于此,我们建议使用 REFRESH FPGA 使用 2.5D 集成从最近退役的 FPGA 芯片构建新的 FPGA 器件和架构。要构建 REFRESH FPGA,需要创造性的架构,利用现有的小芯片引脚和廉价的可制造中介层以及创造性的设计自动化。在本文中,我们讨论 REFRESH FPGA 如何利用行业趋势将可再生能源集成到数据中心,同时全面改进可持续性,并在更长的“首次”生命周期内摊销其重大的具体成本投资。

寻找技术 纸在这里. 2023 年 XNUMX 月出版。

周佩佩、庄金明、史蒂芬·卡洪、唐悦、杨卓平、陈兴振、施一宇、胡静桐和亚历克斯·K·琼斯。 “REFRESH FPGA:可持续的 FPGA 小芯片架构。” arXiv 预印本 arXiv:2312.02991 (2023)。

相关
专有与专有商业小芯片
谁赢了,谁输了,多厂商异构集成的巨大挑战在哪里。

时间戳记:

更多来自 半工程