Chip Industry Week i granskning

Chip Industry Week i granskning

Källnod: 3048968

By Susan Rambo, Jesse Allen, and Liz Allan

Smakämnen oss government will provide about $162 million in federal incentives, under the CHIPS and Science Act, to help Microchip onshore its halvledarförsörjningskedja. The move is aimed at securing a reliable domestic supply of MCUs and mature-node chips. “Today’s announcement will help propel semiconductor manufacturing projects in Colorado and Oregon, and reinforce America’s chip supply chains for critical automotive, medical, aerospace, and defense technologies, among other applications,” sade John Neuffer, Semiconductor Industry Association (SIA) ordförande och VD.

Five chip companies — Robert Bosch, Infineon, Nordic Semiconductor, NXPoch Qualcomm — joined forces to create a new company Quintauris. The stated goal is to develop and commercialize RISC-V-based hardware, provide reference architectures, and help establish solutions that can be widely used across various industries. While the initial focus will be automotive, the companies plan to expand into mobile and IoT, as well.

X-FAB förvärvade M-MOS Semiconductor, a fabless company specializing in the development of MOSFET technologies and the design of custom MOSFET devices, for €22.5 million (~$24.7 million). X‑FAB anticipates the acquisition will accelerate development of its power discrete business.

Global semiconductor capacity will reach 30 million wafers per month in 2024, a nytt rekord, up 5.5% from 2023, according to SEMI.

....................................

Särskild rapport: Investments are booming as countries and companies vie for supply chain security and technology leadership. Here’s a look at 130 fab and facility investments in the chip industry.

................................. ..

Snabblänkar till fler nyheter:

Design och kraft
Tillverkning och test
Bilar och batterier
Säkerhet
Pervasive Computing och AI
Fördjupade rapporter
Personer
Händelser

Design och kraft

Rambus meddelade availability of its Gen4 DDR5 Registering Clock Driver (RCD), which boosts the data rate to 7200 MT/s to support generative AI and other advanced data center workloads.

USA H-1B visumprogram is poised for a shakeup due to a critical talent shortage and the need to keep highly qualified engineers in the country, especially after they graduate from U.S. universities.

Astrobotic belånade Ansys’ multiphysics and digital mission engineering (DME) solutions to prepare for a lunar mission by predicting many categories of the spacecraft’s performance indicators, from plotting the orbital trajectory to analyzing communication system performance.

Georgia Tech researchers created the world’s first functional semiconductor made from grafen, a single sheet of carbon atoms held together by the strongest bonds known.

DARPA försöker identifiera under-explored approaches to quantum computing that could accelerate the timetable for utility-scale operation, where the computational value exceeds its cost. Microsoft och PsiQuantum have been selected to move forward in the program and will design fault-tolerant smaller-scale quantum computer prototypes based on topological qubits and silicon-based photonics, respectively.

Tillverkning och test

The magnitude 7.5 Noto Peninsula jordbävning i Japan has not stopped production in the semiconductor industry, but it did temporarily halt manufacturing at TAIYO YUDEN, Shin-Etsu, Globala wafers, Toshibaoch TPSCo, according to TrendForce. Fördel rapporterade inga problemoch Toshiba kommer att tillhandahålla en uppdatering.

Bruker sa att det kommer att göra förvärva Fasfokus, a privately held company with expertise in imaging and image processing algorithms.

Smakämnen Dutch government’s mandate that ASML stop shipping its NXT:2050i and NXT:2100i lithography systems to Kinesiska customers, has been partially revoked. ASML said the export control would affect only a small number of customers in China, and that it did not expect the export controls to have a material impact in 2023.

To remain competitive with foreign salaries, the Asia-based semiconductor industry is increasing starting pay rates. ’Tokyo Electron kommer att höja the starting salaries of new hires by about 40%, according to Nikkei Asia. TSMC, meanwhile, offered starting salaries in 2022 that were 40% higher than the local average, and will offer larger starting salaries at its new plant in Kikuyo, Kumamoto Prefecture, which may boost salaries across the board, according to the JapanTimes.

Israels government will provide a 3.2 miljarder dollar i anslag to help pay for the $25 billion chip plant Intel is building in southern Israel.

UMC intjänade an AAA certification for IP management from Taiwan’s Industrial Development Administration of the Ministry of Economic Affairs. The certification is given to companies that show continuous efforts on managing and optimizing IP.

USA Institutet för innovation och teknik lanserades the national Semiconductor and Advanced Manufacturing Technician Apprenticeship Program (SAM-TAP) as part of the U.S. Department of Labor’s mission to create Registered Apprenticeships (RAs) for the semiconductor and nanotechnology-related industries.

Bilar och batterier

Twelve companies, including Renesas, Kadens, Synopsys, Honda, Mazdaoch Nissan, etablerade the Advanced SoC Research for Automotive (ASRA) network to research and develop high-performance digital ICs for automotive. The plan is to have these chips in mass production from 2030 onward.

A huge number of startups are attempting to jump start new technologies in the automotive sector in what has become a highly competitive and global race. Investors are funneling large sums of money for everything from lidar to power semiconductors.

Changes to the federal EV-skattelättnad took effect on January 1. Topping out at $7,500, the credit will exclude certain EVs that contain components or critical minerals from “foreign entities of concern” (FEOC). Some buyers will be able to access the credit right after purchasing an eligible vehicle.

Ansys sensors will be tillgänglig inom NVIDIA‘s scenario-based AV simulator, allowing users to access to high-fidelity sensor simulation outputs for the training and validation of perception ADAS/AV systems.

Airbus och BMW lanserades the Quantum Mobility Quest global computing challenge “to tackle the most pressing challenges in aviation and automotive that have remained insurmountable for classical computers.”

Rivian is erinrar some 2022 R1T and R1S vehicles because the accelerator pedal may not detect when the driver releases the pedal, which can result in unintended vehicle movement of the vehicle. Rivian has released an over-the-air software update, and will replace the accelerator pedals for free.

Croatian EV company Rimac, which makes the Nevera electric hypercar and co-owns Bugatti, plans to produce a fleet of autonoma taxibilar by 2026, reports Forbes.

LeddarTech, which develops AI-based low-level sensor fusion and perception software for assisted and autonomous driving, is now a offentligt företag. It will trade as LDTC on the NASDAQ.

In what may truly be the first smartphone on wheels, China’s Xiaomi lanserades its SU7 EV, which it claims will accelerate faster than Porsche’s Taycan and Tesla’s Model S, reports CNBC. The car is integrated with Xiaomi’s smartphone and internet-connected home appliances, but also will be compatible with the iPhone, iPad, CarPlay, and AirPlay.

Under tiden, BYD överträffade Tesla’s production for a second straight year with more than 3 million vehicles, and Nio avtäckt its ET9 executive sedan to take on Mercedes-Benz‘s Maybach and Porsche‘s Panamera, featuring self-designed autonomous driving semiconductors, 900-volt electric drive for fast charging, and large cylindrical battery cells, according to Reuters. It is expected to start delivery in Q1 2025.

hyundai filed a patent for a foldable helsolid-state batteri with the United States Patent and Trademark Office, reports the Korean Car Blog.

Yamaha created a new company, ENYRING, vilket ger bytbara batterier for compact urban EVs, such as e-bikes. The goal is to create a closed loop, in which recycled materials are used as resources for creating new products.

EV batteripriser dropped in December, but are expected to stabilize by Q2 2024, reports TrendForce. Also, the global sales volume of neighborhood EVs (NEVs) was estimated to reach about 12.8 million units in 2023, with China expected to have a 60% market share, 22% in Western Europe, 11% in the U.S., and 6% in other regions.

Säkerhet

GITTER Smidighet completed a pilot program to address the need for cybersecurity risk mitigation across the mobile digital financial services (mDFS) ecosystem. The team created a cyber risk model to help direct the fintech industry and government toward solutions-oriented investments.

Fig. 1: A two-lens approach to security. Source: Mitre Engenuity

With encryption at risk in the post-quantum world, FPGA are increasingly vulnerable. The solution requires both traditional and novel defenses.

A proposed augmented security module (ASM) provides security services for IoT devices within the same network, requiring minimal device modifications, according to researchers at Kyung Hee University och Oregon State University.

A self-adaptive striped-function logic locking method named SFLL-AD was proposed by researchers at Zhejiang University.

Nanyang Technological University (NTU) researchers used a twofold method for jailbreaking large language models (LLMs). First, they reverse-engineered how LLMs detect and defend themselves from malicious queries, and then they taught an LLM to automatically learn and produce prompts that bypass the defenses of other LLMs.

Cybersecurity and Infrastructure Security Agency (CISA) utfärdad various alerts, including advisories about a Bleichenbacher style attack on Mitsubushi’s factory automation products, and a buffertspillattack on Rockwell’s Automation FactoryTalk Activation Manager.

Pervasive Computing och AI

NXP och MicroEJ slog ihop sig to offer software containers with standard APIs, enabling portability of RTOS-based MCUs and Linux-based processors in industrial and edge environments.

Qualcomm debuterade a single-chip architecture for mixed reality and virtual reality, with 4.3K resolution.

Intel och DigitalBridge bildad Articul8 AI, an independent company offering a full-stack, vertically-optimized, and secure generative AI software platform that keeps customer data, training, and inference within the enterprise security perimeter.

Google DeepMind introduced AutoRT, a system that can collect more experiential training data, as well as more diverse data, to speed up robotic learning and prepare them for the real world.

Stanford University researchers showed how the Mobile ALOHA robotsystem can autonomously complete complex mobile manipulation tasks such as sauteing a piece of shrimp, opening a two-door wall cabinet, calling and entering an elevator, and rinsing a used pan.

Välgörenhet premium smartphones sales likely grew 6% year-over-year in 2023 to hit a new record, according to Counterpoint. Premium phones have a value of more than $600, and the segment is expected to capture almost a quarter of global smartphone market sales and 60% of the revenues in 2023. The overall smartphone market, meanwhile, is expected to show a decline.

Välgörenhet cellular IoT module shipments dropped 2% in Q3 2023, compared to the same period in 2022, and full-year shipments are expected to decline by 5%, according to Counterpoint. The top five applications – smart meters, automotive, POS, router/CPE, and telematics – accounted for more than 60% of total shipments. Meanwhile, the 5G market’s share in the global cellular IoT module market crossed 5% for the first time, with early adoption showing in the router/CPE, PC, and automotive markets.

Välgörenhet TV shipments were expected to fall by 2.3% in 2023, adversely impacted by inflation, reports TrendForce, while the shipment of LCD panels is projected to hit around 242 million units in 2024, a growth rate of about 3.4%.

Oxford University researchers set out a new principle to explain how the brain adjusts connections between neurons during learning, with the potential to inspire faster and more robust learning algorithms in AI.

Forskare från MIT:s datavetenskap och artificiell intelligens Laboratory (MIT-CSAIL) developed an approach that uses AI-modeller to conduct experiments on other systems and explain their behavior. “Central to this strategy is the automated interpretability agent (AIA), designed to mimic a scientist’s experimental processes.”

Fördjupade rapporter

More new stories by the Semiconductor Engineering editorial team:

  • Expertdiskussion: Spänningsfall in analog and mixed-signal designs, and the need for multi-vendor tool interoperability and more precision.
  • Expert discussion: What’s changing in sensorteknik och varför.

Personer

Sassine Ghazi tillträdde som VD of Synopsys, posting a letter to stakeholders.

Aspinitet, a developer of analog machine learning chips for always-on edge processing, utsedd Richard Hegberg as its new CEO. Previous CEO and co-founder Tom Doyle will take up the role of COO.

Händelser

Hitta kommande chipindustri evenemang här, Inklusive:

händelse Datum Plats
ISS 2024: Industristrategisymposium Jan 7 - 10 Half Moon Bay, Kalifornien
CES 2024: Konsumentelektronik Jan 9 - 12 Las Vegas, NV
Automotive World: Advanced Automotive Technology Show Jan 24 - 26 Tokyo, Japan
Hastighet, protokoll och säkerhet: Nya utmaningar för fordonsnätverk Januari 24 Novi, MI
SPIE Photonics West 27 jan - 1 feb San Francisco
DesignCon 2024 30 jan - 1 feb Santa Clara, Kalifornien
Chiplet Summit 6 - 8 februari Santa Clara, Kalifornien
Framväxten av Photonic Computing 7 - 8 februari San Jose, Kalifornien
Förpackningssymposium på wafer-nivå 13 - 15 februari Hyatt Regency San Francisco flygplats
Alla kommande evenemang

Kommande webbseminarier är här..

Mer läsning och nyhetsbrev

Läs det senaste specialrapporter och toppnyheter, eller kolla in det senaste nyhetsbrev:

System och design
Låg effekt-hög prestanda
Test, mätning och analys
Tillverkning, förpackning och material
Automotive, Security och Pervasive Computing

Tidsstämpel:

Mer från Semi-teknik