Advancing Semiconductor Processes with Novel Extreme UV Photoresist Materials - Semiwiki

Advancing Semiconductor Processes with Novel Extreme UV Photoresist Materials – Semiwiki

Source Node: 2867175

Banner Advancing Semiconductor Processes with Novel Extreme UV Photoresist Materials

Introduction

The ever-growing demand for faster, smaller, and more efficient electronic devices has fueled the semiconductor industry’s relentless pursuit of innovation. One crucial technology at the heart of semiconductor manufacturing is Extreme Ultraviolet Lithography (EUVL) to achieve smaller feature sizes with higher resolution, leading to miniaturized devices. Researchers and companies across the globe are focusing on developing novel Extreme UV (EUV) photoresist materials that support EUVL patterning at nanometer-scale resolutions and improve the performances of semiconductor devices. [1], [2], [3]

Lithography is a crucial step in semiconductor fabrication, where patterns are transferred onto wafers to create integrated circuits and other microstructures. Traditional lithography relies on deep ultraviolet light, but as integrated circuits reach single-digit nanometre scales, EUV lithography becomes imperative. EUV light operates at wavelengths around 13.5 nanometres, enabling the printing of significantly smaller features with high precision. EUV photoresists are light-sensitive materials utilized in the semiconductor manufacturing process, particularly in advanced lithography techniques. These materials must withstand high-energy EUV photons and provide high-resolution patterning capabilities. Some challenges for developing EUV photoresist materials are that they need to be highly sensitive to the short wavelengths, achieving high resolution is essential for producing intricate and small-scale patterns under 3nm, minimizing line-edge roughness, and outgassing (contamination) creates issues for manufacturers in maintaining production.[2], [4], [5]

Figure 1 (3)

These innovative materials are often classified as Chemically Amplified Photoresists (CARs), Non-Chemical Amplified Resists, Inorganic EUV Photoresists, and Hybrid EUV Photoresists depending on their formulations or compositions. When exposed to EUV light, they undergo chemical or physical changes, enabling the accurate transfer of patterns onto surfaces.[2],[6]

Understanding Extreme UV Photoresist Material

EUV photoresist materials are light-sensitive substances that undergo chemical changes when exposed to high-energy EUV photons. EUV photons generate photoacids from a photosensitive compound. This acid catalyzes a deprotection reaction in the resist polymer, making it more soluble in the developer solution. The amplified reaction enhances sensitivity and enables high-resolution patterning. As semiconductor nodes advance to smaller scales, maintaining resolution, sensitivity, and pattern fidelity becomes more complex and challenging. Research is ongoing to develop new materials, mechanisms, and processing techniques to address these challenges and enable further miniaturization. [2], [4], [6]

Exhibitor 1: Types of Extreme UV Photoresist Materials

Exhibitor 1

  • Chemical Amplified Resist: Chemically amplified photoresists are the most commonly used EUV photoresists. They employ a photoacid generator (PAG) that produces acid upon exposure to EUV photons. This acid catalyzes a chemical reaction in the resist, leading to the dissolution of the exposed regions during the development process. CARs are known for their high sensitivity, making them suitable for low-dose EUV exposure and improving throughput during semiconductor manufacturing. They may find applications in optical devices, displays, and advanced packaging. [2], [7], [8]
  • Inorganic EUV Photoresists: Inorganic photoresist materials with different EUV absorption coefficients and high etching are important to solve some existing problems. Therefore, many researchers have begun to study the use of inorganic materials in the field of photoresists. These materials differ from organic CARs as they are composed of inorganic materials, such as metal oxides or metal-containing compounds. They work by applying the metal oxide system with acrylic acid as the organic ligand to EUV lithography. Inorganic photoresists are expected to offer higher thermal stability and reduced outgassing than their organic counterparts. They may find applications in extreme environments or specialized semiconductor processes. [2], [7], [8]
  • Non-chemically Amplified Resists: Unlike CARs, non-chemically amplified photoresists do not rely on acid-catalyzed reactions. Instead, they directly undergo a photolytic reaction upon EUV exposure, resulting in a change in solubility. These materials often require higher doses of EUV light for patterning and are being explored for specific applications and process requirements. [2], [7], [8]
  • Hybrid EUV Photoresists: Hybrid EUV photoresists combine organic and inorganic elements to leverage the advantages of both material types. These materials work by selecting the resins selected for the purification step after the ligand exchange reaction as polystyrene resins functionalized with tertiary amines, piperidine, and dimethylamine. These materials aim to provide enhanced sensitivity, resolution, and thermal stability, addressing some of the limitations of purely organic or inorganic photoresists. [2], [7], [8]

Exhibitor 2: Extreme UV Photoresist Materials: Last 5 years Publication Trend

Exhibitor 2

Key Challenges in EUV Photoresist Development
  • EUV Sensitivity: Sensitivity is one of the key challenges of EUV lithography; developing and optimizing photoresist materials that effectively absorb and react with EUV light to produce precise patterns on semiconductor wafers is difficult. EUV photons are scarce and expensive, necessitating photoresist materials with high sensitivity to achieve an adequate throughput of 100 to 120 wafers per hour during manufacturing.[9], [10]
  • Resolution and LER: As feature sizes reduce, maintaining high resolution without excessive line-edge roughness (LER) becomes problematic. An important potential source of LER for EUV resists is photon shot noise due to the high photon energy. The LER challenge involves minimizing irregularities or roughness along the edges of the developed photoresist lines that form the transistor features. Excessive LER can lead to variations in transistor performance and reduced chip yield. The manufacturers need to optimize the photoresist formulation and process conditions to achieve an LER of 2 nm but a sensitivity of only 70 mJ/cm and smoother, more precise edges on the transistor features. [2], [9]
  • Outgassing: The outgassing problem in EUV lithography refers to releasing volatile organic compounds (VOCs) or other materials from the photoresist during exposure to EUV light. These outgassed materials potentially contaminate the surrounding environment, including the optics and mirrors used in the EUV lithography equipment. Contamination reduces equipment performance and production yield, alongside increasing maintenance requirements. Controlling and minimizing outgassing is critical to maintaining the reliability and efficiency of the entire EUV lithography process.[9], [11]
  • Thermal Stability: EUV exposure generates considerable heat, demanding stable photoresist materials under high-energy conditions. Many applications demand coatings with excellent thermal stability. Most commercially available removers rapidly dissolve resist layers after thermal loads of up to 130°C. [9], [12]
Promising Advancements in Novel EUV Photoresist Materials
  • High Sensitivity, Low Dose Materials: Researchers are exploring innovative chemically amplified photoresists that react strongly to EUV photons even at lower doses, improving throughput to 100 wafers per hour and reducing manufacturing costs. [1o], [13], [14]
  • Improved Resolution and LER Control: Novel materials such as chemically amplified and inorganic resists are designed to mitigate LER while maintaining high-resolution patterning capabilities. Advanced chemical atomic resist compositions and unique polymer structures play a vital role in achieving higher sensitivity to EUV light, improving contrast, and reducing LER below 2nm.[13], [14]
  • Reduced Outgassing: The development of low-outgassing photoresists ensures cleaner EUV exposure, resulting in a higher yield and improved semiconductor device reliability. Reducing outgassing is crucial to maintaining the cleanliness and integrity of the EUV lithography process, which is highly sensitive to contaminants. Semiconductor manufacturers collaborate closely with material suppliers and equipment manufacturers to ensure that the photoresists and other materials used in the EUV lithography process meet stringent outgassing requirements and contribute to producing high-quality semiconductor devices.[11], [13], [14]
  • Thermal Stability Solutions: To tackle the thermal challenges of EUV lithography, researchers are bringing engineering materials with enhanced thermal stability, allowing for more prolonged exposure times without compromising performance. [12], [13], [14]

Exhibitor 3:

Exhibitor 3

Collaboration and Future Prospects

Developing and optimizing novel EUV photoresist materials requires collaboration between semiconductor manufacturers, material suppliers, and research institutions. The semiconductor industry’s pursuit of next-generation devices relies on the continual advancement and refinement of EUV lithography technology. [14], [18]

The successful implementation of novel EUV photoresist materials will unlock numerous possibilities for semiconductor technology. Smaller and more powerful devices will revolutionize various sectors, including data centers, healthcare, automotive, and artificial intelligence. The impact is not only limited to traditional computing, allowing semiconductor manufacturers to produce chips with smaller feature sizes. This leads to higher transistor density, improved performance, and lower power consumption in electronic devices. It also enhances the capabilities of semiconductor devices, enabling the production of advanced processors, memory devices, and sensors that drive technological innovation in various industries [14], [18], [19]

Conclusion

Novel Extreme UV photoresist materials represent a crucial stepping-stone in the relentless drive to enhance semiconductor technology. The ability to print ever smaller and more precise features on semiconductor wafers is vital to meeting the demands of the digital age. Collaborative research and development in this field promise a bright future for the semiconductor industry, ensuring the continuous evolution of electronic devices that empower and enrich our lives.

Developing novel EUV photoresist materials requires collaboration among material scientists, chemists, physicists, and engineers. Material suppliers, semiconductor manufacturers, and research institutions work in tandem to design, characterize, and test these materials under demanding EUV exposure conditions.

The field of EUV lithography and photoresist development is continuously evolving. Researchers are exploring a wide range of material innovations, including inorganic resists, nanostructured materials, and hybrid polymers. As the semiconductor industry strives for even greater levels of miniaturization and performance, the pursuit of novel EUV photoresist materials remains an active area of research and innovation.

Authors:

Rupesh Yelhekar
Principal Consultant
Hi-tech Operations

Vikas Konkimalla
Senior Consultant
Hi-tech Operations

Sources
  1. https://ieeexplore.ieee.org/document/9286794
  2. https://spie.org/news/6883-novel-concept-for-extreme-uv-photoresist-materials?SSO=1
  3. https://sci-hub.se/10.1109/IWAPS51164.2020.9286794
  4. https://www.eenewseurope.com/en/irresistible-materials-ramps-production-of-euv-photoresist-2/
  5. https://www.nature.com/articles/srep09235
  6. https://www.shinetsu.co.jp/en/products/electronics-materials/photoresist/
  7. https://www.sciencedirect.com/science/article/abs/pii/S1369702123001785
  8. https://colab.ws/articles/10.1016/j.mattod.2023.05.027
  9. https://www.osti.gov/servlets/purl/1039917
  10. https://www.ncbi.nlm.nih.gov/pmc/articles/PMC7466712/
  11. https://www.ncbi.nlm.nih.gov/pmc/articles/PMC4646569/
  12. https://www.allresist.com/thermostable-photoresists/#:~:text=Many%20applications%20demand%20coatings%20with,with%20high%20shape%20accuracy%20(Fig
  13. https://www.sciencedirect.com/science/article/abs/pii/S0032386123003506
  14. https://www.tandfonline.com/doi/abs/10.1080/08940886.2019.1634431?journalCode=gsrn20
  15. https://www.digitimes.com/news/a20230626PD203/euv-photoresist-chemical-materials-asml.html
  16. https://www.sciencedirect.com/science/article/abs/pii/S0010854523002965#:~:text=Consequently%2C%20recent%20investigations%20in%20EUV,contain%20typically%20one%20metal%20center
  17. https://www.businesswire.com/news/home/20220802005747/en/Inpria-Co-Developing-Metal-Oxide-Resist-with-SK-hynix-to-Reduce-Complexity-of-Patterning-for-Next-Generation-DRAM
  18. https://www.mdpi.com/2073-4360/11/12/1923
  19. https://chemistry-europe.onlinelibrary.wiley.com/doi/10.1002/ejic.201900745
Also Read:

Modeling EUV Stochastic Defects with Secondary Electron Blur

Enhanced Stochastic Imaging in High-NA EUV Lithography

Application-Specific Lithography: Via Separation for 5nm and Beyond

Share this post via:

Time Stamp:

More from Semiwiki