Høydepunkter fra TSMC Technology Symposium 2021 – Emballasje

Kilde node: 894607

Det nylige TSMC Technology Symposium ga flere kunngjøringer i forhold til deres avanserte emballasjetilbud.

general

3DFabricTM

I fjor slo TSMC sammen sine 2.5D- og 3D-pakketilbud til et enkelt, omfattende merke – 3DFabric.

3D stoff

2.5D-pakketeknologi – CoWoS

2.5D-emballasjealternativene er delt inn i CoWoS- og InFO-familiene.

Det "tradisjonelle" chip-on-wafer-on-substratet med silisium-interposer for die-to-die redistribution layer (RDL)-tilkobling feirer sitt 10. år med høyvolumsproduksjon.

CoWoS-R-alternativet erstatter den (dyre) silisium-mellomleggeren som spenner over omfanget av 2.5D-dyseplasseringsområdet med en organisk substrat-mellomlegger. Avveiningen for CoWoS-R er den mindre aggressive linjestigningen for RDL-forbindelsene – f.eks. 4um pitch på den organiske, sammenlignet med sub-um pitch for CoWoS-S.

Mellom alternativene for silisium –S og organisk –R mellomlegger, inkluderer TSMC CoWoS-familien et nyere tillegg, med en "lokal" silisiumbro for (ultra-kort rekkevidde) sammenkobling mellom tilstøtende dysekanter. Disse silisiumskivene er innebygd i et organisk substrat, og gir både høydensitets USR-forbindelser (med tett L/S-stigning) og sammenkoblings- og strømfordelingsfunksjonene til (tykke) ledninger og plan på et organisk underlag.

Merk at CoWoS er utpekt som en "chip last"-monteringsflyt, med dyse festet til den fabrikkerte mellomleggeren.

  • 2.5D-pakketeknologi – INFO

InFO bruker (enkelt eller flere) dyse på en bærer som deretter er innebygd i en rekonstituert skive av støpemasse. RDL-sammenkoblingen og de dielektriske lagene blir deretter produsert på waferen, en "chip-first" prosessflyt. Enkeltdyse-InFO gir et alternativ med høyt antall støt, med RDL-trådene som strekker seg utover fra dyseområdet - det vil si en "fan-out" topologi. Som illustrert nedenfor inkluderer multi-die InFO-teknologialternativene:

    • INFO-PoP: "pakke-på-pakke"
    • INFO-oS: "InFO montering-på-substrat"

INFO alternativer

  • 3D-pakketeknologi – SoIC

3D-pakkene er assosiert med SoIC-plattformen, som bruker stablet matris med direkte putebinding, i enten ansikt-til-ansikt eller ansikt-til-bak-orientering – betegnet som SoIC chip-on-wafer. Gjennom silisiumviaer (TSV-er) gir tilkobling gjennom en dyse i 3D-stabelen.

Veikartet for SoIC-utvikling er illustrert nedenfor – som et eksempel vil N7-på-N7-formkonfigurasjoner bli kvalifisert i 4Q21.

SoIC tsmc-emballasje

Nye kunngjøringer om emballasjeteknologi

Det var flere viktige kunngjøringer på årets symposium.

  • maksimal pakkestørrelse og RDL-forbedringer

Etterspørselen etter et større antall 2.5D-matriser integrert i en enkelt pakke driver behovet for RDL-produksjon over et større område, enten det er på en mellomlegger eller den rekonstituerte waferen. TSMC har fortsatt å utvide "sømmen" av sammenkoblinger forbi den maksimale enkelteksponeringsstørrelsen. Tilsvarende er det behov for ytterligere RDL-lag (med aggressiv trådstigning).

Veikartet for større pakkestørrelser og RDL-lag inkluderer:

    • CoWoS-S: 3X trådkors (kvalifisert av YE’2021)
    • CoWoS-R: 45X trådkors (3X i 2022), 4 RDL-lag på det organiske underlaget (W/S: 2um/2um), i pålitelighetskvalifisering ved bruk av en SoC + 2 HBM2-dysestabler
    • CoWoS-L: testkjøretøy i pålitelighetsvurdering ved 1.5X trådkorsstørrelse, med 4 lokale sammenkoblingsbroer mellom 1 SoC og 4 HBM2 dysestabler
    • INFO_oS: 5X trådkors (51 mm x 42 mm, på en 110 mm x 110 mm pakke), 5 RDL-lag (W/S: 2um/2um), for øyeblikket under pålitelighetsvurdering

Figuren nedenfor illustrerer en potensiell InFO_oS-konfigurasjon, med logisk dyse omgitt av I/O SerDes-brikker, til støtte for en høyhastighets/high-radix nettverkssvitsj.

INFO oS emballasje tsmc

    • InFO_B (nederst)

InFO_PoP-konfigurasjonen vist ovenfor viser en InFO-enhet med en DRAM-modul festet på toppen, med vias mellom DRAM- og RDL-sammenkoblingslagene.

TSMC endrer dette InFO_PoP-tilbudet for å gjøre det mulig å fullføre (LPDDR DRAM)-pakkesammenstillingen hos en ekstern kontraktsprodusent/OSAT, et alternativ angitt på InFO_B, som vist nedenfor.

INFO B

Tilsvarende har TSMC utvidet "Open Innovation Platform" til å inkludere 3DFabric-partnere som er kvalifisert for InFO_B sluttmontering. (For øyeblikket er 3DFabric-partnerselskapene:  Amkor Technology, ASE Group, Integrated Service Technology og SK Hynix.)

    • CoWoS-S "standard arkitektur" (STAR)

En utbredt designimplementering for CoWoS-S er integrasjonen av en enkelt SoC med flere High-Bandwidth Memory (HBM) die-stabler. Databussbredden mellom den logiske dysen og HBM2E (2. generasjon)-stablene er veldig stor – dvs. 1024 biter.

Ruting- og signalintegritetsutfordringene for å koble HBM-stakkene til SoC gjennom RDL er betydelige. TSMC gir systemselskaper flere standard CoWoS-S-designkonfigurasjoner for å fremskynde ingeniørutvikling og tidsplaner for elektrisk analyse. Figuren nedenfor illustrerer noen av de forskjellige CoWoS-S-alternativene, fra 2 til 6 HBM2E-stabler.

STAR

TSMC forventer en høy bruksrate for disse standarddesignimplementeringene i 2021.

  • nye TIM-materialer

En tynn film med termisk grensesnittmateriale (TIM) er vanligvis integrert i en avansert pakke, for å bidra til å redusere den totale termiske motstanden fra den aktive formen til omgivelsene. (For enheter med svært høy effekt er det vanligvis påført to TIM-materiallag – et innvendig lag mellom dysen og pakkelokket og ett mellom pakken og kjøleribben.)

Tilsvarende den økte krafttapet til større pakkekonfigurasjoner, forfølger TSMCs avanserte pakke-FoU-team nye interne TIM-materialalternativer, som vist nedenfor.

TIM veikart

  • utvidelse av avansert emballasje (AP) produksjonskapasitet

I påvente av økt bruk av hele 3DFabric-emballasjen, investerer TSMC betydelig i å utvide produksjonskapasiteten for avansert emballasje (AP), som illustrert nedenfor.

AP kart emballasje tsmc

For mer informasjon om TSMCs 3DFabric-teknologi, følg denne link.

-chipguy

Del dette innlegget via: Kilde: https://semiwiki.com/semiconductor-manufacturers/tsmc/299955-highlights-of-the-tsmc-technology-symposium-2021-packaging/

Tidstempel:

Mer fra Semiwiki