EDA wieder auf dem Radar der Anleger

EDA wieder auf dem Radar der Anleger

Quellknoten: 3086897

EDA wandelt sich von einem biederen, aber strategischen Sektor zu einem heißen Investitionsmarkt, angetrieben durch starke Erträge und Wachstum, einen Ruf nach führenden und zunehmend maßgeschneiderten Designs in neuen und bestehenden Märkten und die Einführung fortschrittlicher Technologien wie KI für eine Reihe von Werkzeugen, die benötigt werden, um neue Architekturen mit viel höherer Leistung pro Watt zu entwickeln.

Das Zusammentreffen verschiedener Ereignisse hat zu einem der besten Jahre aller Zeiten für den Major geführt EDA Spieler, nicht nur dafür, wie gut sie im vergangenen Jahr in Bezug auf Umsatz, Gewinn oder Aktienkurs abgeschnitten haben, sondern auch dafür, wie es ihnen in der Zukunft ergehen könnte. Wie kürzlich von der Electronic System Design (ESD) Alliance von SEMI berichtet, stieg der Branchenumsatz im dritten Quartal 25.2 um 4,702.4 % auf 2023 Millionen US-Dollar, verglichen mit 3,756.3 Millionen US-Dollar im dritten Quartal 2022. Der gleitende Vier-Quartal-Durchschnitt zum Vergleich der letzten vier Quartale im Vergleich zu den vorherigen vier, stieg um 13.8 %. Fast alle Segmente und Regionen verzeichneten ein deutliches Wachstum, und von der Schwäche zu Beginn des Jahres kam es zu einer raschen Erholung.

Sogar diese Weichheit war für die großen EDA-Spieler begrenzt. Kleinere Unternehmen bekamen den Abschwung stärker zu spüren. „Anfang 2023 erlebten wir die wirtschaftliche Korrektur“, sagt Prakash Narain, Präsident und CEO von Real Intent. „Die Dinge haben sich verlangsamt, aber Start-ups waren davon stärker betroffen als einige der größeren Unternehmen. Dann ging es los. Jetzt sehen wir viel mehr Aktivität in den Systemunternehmen. Das ist eigentlich sehr spannend, weil sie maßgeschneidertes Silizium benötigen. Das bedeutet, dass mehr Designarbeit geleistet wird, und das sind einfach tolle Neuigkeiten für die EDA-Branche. Insgesamt war es ein gutes Jahr in dem Sinne, dass der Rückgang durch den Aufschwung im späteren Teil des Jahres ausgeglichen wurde.“

Dieser Wandel wird durch mehrere Faktoren vorangetrieben. Das massive Wachstum von ChatGPT, das erst letztes Jahr begann, erfordert eine deutliche Steigerung der insgesamt verfügbaren Rechenleistung sowie diversifizierte Engines, um diesen Bedarf zu decken. CPUs werden durch heterogenes Computing ersetzt, und wir sehen, dass die Cloud zu einer Mischung aus CPUs, GPUs, KI-Prozessoren, benutzerdefinierten Beschleunigern, FPGAs und mehr wird. Viele dieser neuen Prozessoren werden entweder von Startups oder von Hyperscalern entwickelt, was für eine verbesserte Effizienz in verschiedenen Rechenklassen von entscheidender Bedeutung ist.

Viele dieser kundenspezifischen Prozessoren überschreiten die Retikel-Grenze und zwingen Chiphersteller dazu, verschiedene Funktionen mithilfe fortschrittlicher Gehäuse wie 2.5D, 3D-ICs und dichte Fan-Outs mit Säulen zu zerlegen. Dies erfordert fortschrittlichere EDA-Tools, einschließlich einiger neuer Tools, sowie die Nutzung von Technologien aus anderen Bereichen. Einige befassen sich sogar mit Problemen, die beim Chip-Design noch nie zuvor aufgetreten sind. Multiphysik ist ein Begriff, der mittlerweile überall auftaucht.

Ein dritter Treiber ist die Beschleunigung der Synergien zwischen EDA und dem Systembereich. Siemens war der erste, der diese Chance nutzte, und andere folgten diesem Beispiel. „Synopsys möchte beginnen, über Dinge auf Systemebene nachzudenken, und sie möchten andere Disziplinen in den Kampf einbeziehen“, sagt Chris Mueth, Direktor für New Markets Management bei Keysight. „Die Komplexität nimmt exponentiell zu, die Arbeitskräfte jedoch nicht. Das hinterlässt eine Lücke. Wie gehen Sie also mit etwas um, dessen Komplexität exponentiell zunimmt, wenn Sie nicht in der Lage sind, Ihre Belegschaft zu skalieren? Sie müssen Arbeitsabläufe automatisieren. Du hast keine Wahl. Sie müssen die Mauern einreißen und alles miteinander verbinden und zusammenarbeiten lassen. Und es wird auch nicht ein einziger Anbieter sein. Aus verschiedenen Gründen ist es unmöglich, dass ein einzelner Anbieter all diese unterschiedlichen Dinge bewältigen kann. Es handelt sich um ein Interoperabilitätsspiel, das das gesamte Ökosystem einbezieht.“

EDA und RISC-V
Eine weitere Transformation ist die schnelle Einführung und Akzeptanz von RISC-V. Während das Ökosystem wächst und reifer wird, wagen immer mehr Unternehmen den Schritt, ihre eigenen maßgeschneiderten Prozessoren zu entwickeln, und sie sind verzweifelt auf der Suche nach Tools. Diese Art von Tools gab es in der Vergangenheit, aber als Arm zum dominierenden Anbieter auf dem CPU-IP-Markt wurde, verschwand die Zahl. Während es bei Cadence (Tensilica) und Synopsys (ARC) weiterhin erweiterbare Prozessorentwicklungstools gab, war ihre Reichweite recht begrenzt. Das wird jetzt verlängert.

„Die RISC-V-Börse ist seit Anfang 40 um über 2023 % gewachsen und wir gehen davon aus, dass im Jahr 2024 viele neue und innovative RISC-V-Produkte auf den Markt kommen werden“, sagt Calista Redmond, CEO von RISC-V International. „Ich war wirklich beeindruckt von den erheblichen technischen Fortschritten der Community, die 16 Spezifikationen ratifiziert haben und viele weitere in Vorbereitung sind. Wir haben auch wichtige RISC-V-Ankündigungen in verschiedenen Segmenten gesehen. Meta teilte beispielsweise mit, dass das Unternehmen RISC-V für Videotranscoder, Inferenzbeschleuniger und Trainingschips verwendet. Darüber hinaus betonte Qualcomm, dass das Unternehmen eine Milliarde Geräte mit RISC-V-Mikrocontrollern ausgeliefert hat und eine RISC-V-basierte Wearables-Lösung für Wear OS by Google auf den Markt bringt.“

Einige Probleme mit RISC-V bleiben bestehen, aber auch diese sind gut für EDA. „Es zeichnet sich eine gewisse Erkenntnis über die Verifizierung ab“, sagt Frank Schirrmeister, Vizepräsident für Lösungen und Geschäftsentwicklung bei Arterien. „Mit der Freiheit zur Innovation geht eine große Verantwortung für die Überprüfung einher, und das RISC-V-Ökosystem muss weiter ausgereift werden, um das Wachstum zu unterstützen. Zusätzlich zur Verifizierung wiesen Benutzer auf dem RISC-V-Gipfel darauf hin, dass Aspekte wie IOMMUs, Debug und Trace, Interrupt-Controller, Energieverwaltung und SoC-weite Kohärenz Ökosystemarbeit erfordern, um das weitere RISC-V-Wachstum zu unterstützen.“

Ende 2023 erwarb Synopsys stillschweigend Imperas, den führenden Anbieter von RISC-V-Referenzmodellen und einer wachsenden Suite von Verifizierungstools für RISC-V. Darüber hinaus kündigte Synopsys ARC-V an, ein Unternehmen, das seine jahrelange Erfahrung mit dem erweiterbaren ARC-Prozessor auf die RISC-V-Architektur anwendet.

Während Open-Source-Hardware an Bedeutung gewinnt, ist Open-Source-EDA nicht auf dem Vormarsch. „Gute EDA kostet viel Geld“, sagt Ashish Darbari, Gründer und CEO von Axiomisieren. „Die Anwender von EDA sind Unternehmen, die diese Tools nutzen, weil ihnen Qualität und Markteinführungszeit wichtig sind. Sie wollen es gleich beim ersten Mal richtig machen. Diese Unternehmen, insbesondere die alten Siliziumhersteller der Welt, öffnen sich nicht für neue, etwas günstigere Open-Source-Formen von EDA. Leider ist Open-Source-EDA für den RISC-V-Bereich noch nicht geeignet. Der Hauptgrund dafür ist, dass die RISC-V-Siliziumanbieter und alle Unternehmen, die Silizium herstellen, nicht in Open-Source-EDA investieren.“

KI innerhalb der EDA
EDA hat einen neuen Pfeil im Köcher – KI. EDA-Unternehmen haben im letzten Jahrzehnt selektiv KI eingeführt, doch ihr Nutzen nimmt zu.

Die Art der in EDA verwendeten KI ist möglicherweise nicht so sexy wie generative KI, wie Tools wie ChatGPT zeigen. Dennoch hat sich bestärkendes Lernen als gut geeignet erwiesen, einige der repetitiveren Aufgaben, die derzeit von Menschen ausgeführt werden, zu ersetzen, insbesondere wenn es um komplexe Beziehungen zwischen vielen Faktoren geht.

Es ist weniger wahrscheinlich, dass KI Designer ersetzen wird. „KI wird trainiert“, sagt Dean Drako, CEO von IC Manage. „Wenn Sie Ihre KI trainieren, lernt sie aus dem, was bereits getan wurde. Wenn ich die KI mit 1,000 Designs eines Addierers trainiere, weiß sie, was ein Addierer ist. Dann kann ich es bitten, einen Addierer mit einem definierten Satz von Eigenschaften zu entwerfen. Aber ich werde die KI nicht dazu bringen, auf die Idee von Chiplets zu kommen, denn so etwas gab es noch nie. Der wichtigste Teil unserer Arbeit in der EDA-Welt und in der Designwelt besteht darin, neue Dinge zu erfinden. Jedes Mal, wenn wir einen neuen Chip herstellen, erfinden wir etwas, das besser ist als der letzte. Wir machen nicht dasselbe. KI wird sehr gut darin sein, sich wiederholende Aufgaben zu erledigen.“

Ingenieurwesen ist eine Kombination aus Kreativität und Methodik. „Der kreative Teil ist relativ klein“, sagt Narain von Real Intent. „Dann gibt es den methodischen Teil, bei dem man den Code eingibt, die Funktionalität erstellt und sie testet. Das kostet viel Engineering-Zeit. Es gibt eine Tendenz zur Steigerung der Produktivität der Ingenieure. Indem Sie mehr methodisches Vorgehen automatisieren, geben Sie ihnen mehr Zeit, andere Dimensionen zu berücksichtigen.“

Es kann auch einige echte Gewinne bringen. „Die Big Four haben im vergangenen Jahr KI-Verbesserungen herausgebracht“, sagt Rich Goldman, Direktor bei Ansys. „Unternehmen wie Synopsys, die seit 40 Jahren Logiksynthese betreiben, liefern dank KI plötzlich bessere Ergebnisse. Es ist einfach erstaunlich, dass so etwas so viel verändern kann.“

Ein Großteil dieser Gewinne resultiert aus der Nutzung von Reinforcement Learning. „Aber jetzt erschließt die generative KI ein völlig neues Leistungsniveau“, sagt Neil Hand, Marketingleiter im IC-Segment bei Siemens EDA. „Diese Entwicklung war beeindruckend, nicht nur im Hinblick darauf, was sie in technischer Hinsicht ermöglicht, sondern auch was die Wahrnehmung für die Benutzer angeht. Wenn man ein paar Jahre zurückblickt, war es den Leuten egal, wie etwas gemacht wurde, solange es gemacht wurde. Wenn man über maschinelles Lernen sprach, waren die Leute ein wenig skeptisch und vertrauten ihm nicht ganz. Das ist jetzt komplett weggeblasen. Die Menschen vertrauen KI und ML. Die Leute wollen wissen, was Sie tun. Sie wollen hinter die Kulissen blicken und verstehen, welche Technologien eingesetzt werden.“

Es liegen noch viele weitere Möglichkeiten vor uns. „Eine der Herausforderungen, denen ich als EDA-Tool-Designer gegenüberstehe, ist die menschliche Schnittstelle“, sagt Narain. „Wir berechnen viele Informationen, die dem Benutzer präsentiert werden müssen. KI kann den Teil des Prozesses automatisieren, der automatisch Parameter auf der Grundlage von Mustern anpasst, die wir als Menschen nicht sehen können – die Fähigkeit, Muster im Unbekannten zu finden. Das kann ein langer Prozess sein.“

EDA findet Respekt
EDA galt schon lange nicht mehr als dynamische, investitionswürdige Branche. „Halbleiter und EDA sind wieder cool“, sagt Hand von Siemens. „Das ist wahrscheinlich eine der größten Überraschungen für mich, nachdem ich jahrelang weniger im Vordergrund stand. Obwohl wir täglich die Welt verändern, wurden wir nicht gesehen. Letztes Jahr hatten wir Halbleiter-Leute im Weißen Haus, wir hatten EDA-Leute, die mit dem Präsidenten sprachen. Wir sind plötzlich sichtbar geworden.“

Das spiegelt sich in den Bewertungen wider. „Es war sehr schön zu sehen, dass die öffentlichen Märkte endlich den Beitrag der EDA anerkennen“, sagt Narain. „EDA wurde so lange unterbewertet. Ich muss dem Management der größeren Unternehmen danken, das maßgeblich dazu beigetragen hat, dass dies möglich wurde.“

Ist es zu viel des Guten? „Ich bin überrascht von den Marktkapitalisierungen der EDA-Unternehmen“, sagt Drako von IC Manage. „Sie sind lächerlich hoch. Es ist einfach unglaublich. Vielleicht etwas gerechtfertigt oder überfällig. Das EDA-Geschäft ist das Schlüsselgeschäft für alles in unserem Leben, und doch war die EDA-Branche wirklich ein Rückstand, der nicht viel Geld verdiente und nicht viel Respekt erhielt. Es hat 50 Jahre gedauert, aber der Respekt und das Geld, das der EDA-Welt zuteil wird, sind längst überfällig.“

Hohe Bewertungen machen Übernahmen tendenziell wahrscheinlicher, und wir haben in diesem Bereich sicherlich eine Zunahme der Aktivitäten beobachtet. Sollte die kürzlich angekündigte Fusion von Synopsys und Ansys vollzogen werden, handelt es sich um die mit Abstand größte Unternehmenstransaktion seit langem.

Ein wolkiger Horizont
Aber es gibt mindestens eine Wolke am Horizont. Dieselben Kräfte, die EDA sichtbarer machen, hängen auch mit geopolitischen Gesten zusammen, die langfristige Auswirkungen haben könnten. „Ich habe eine Liste von etwa 15 nationalen Initiativen in verschiedenen Ländern zur Finanzierung von Halbleitern und EDA“, sagt Hand. „Der CHIPS Act war nur ein Beispiel. Das sorgt im Gegenzug aber auch für Gegenwind, denn einer der großen Märkte ist China. Wie gehen Sie mit Exportbeschränkungen um? Wie steuern Sie diese, sodass Sie ihnen weiterhin ermöglichen, das zu tun, was sie tun dürfen, es aber so tun, dass wir keine Beschränkungen verletzen?“

Es werden viele Fabs gebaut. „Das CHIPS-Gesetz beschleunigt die Baupläne für Halbleitergießereien, was erhebliche Auswirkungen auf die Halbleiterzyklen haben kann“, sagt Goldman von Ansys. „Der Bau einer neuen Gießerei dauert eine Weile. Sie sehen Gießereien in Arizona mit TSMC und Intel sowie in Ohio und im Zentrum von New York und an Orten, an denen wir sie noch nie gesehen haben. Bei EDA haben wir hinter den Kulissen viele Bemühungen gesehen, wohin das Geld fließt, aber das Geld wurde noch nicht freigegeben. Ich denke, wir werden diese Auswirkungen im Laufe des nächsten Jahres sehen.“

All diese zusätzlichen Fabrikkapazitäten müssen irgendwie gefüllt werden, wenn diese Fabriken wirtschaftlich rentabel sein sollen. „Mit dem CHIPS Act streben sie eine Demokratisierung des Chip-Designs und Zugang zu Tools, Bibliotheken und IPs an, damit mehr Teams fortschrittliches Chip-Design durchführen können“, sagt Marc Swinnen, Direktor für Produktmarketing bei Ansys. „Sie wollen auch Fortschritte bei EDA haben, mit Forschung an den Universitäten, und sie brauchen die EDA-Anbieter, um ein Konsortium zu bilden, in dem sie alle ein wenig unbehaglich nebeneinander im selben Eimer der Verfügbarkeit drängeln. Es gibt viel Pionierarbeit zu leisten. Was sie sich von der Designseite wünschen und wie wir dorthin gelangen, wird gerade ausgearbeitet.“

Für jede Aktion gibt es eine gleiche und entgegengesetzte Reaktion. „Was passieren wird, ist eine unbeabsichtigte Konsequenz“, sagt Drako. „Die meisten EDA-Tools werden von amerikanischen Unternehmen hergestellt. Diese Tools sind so fortschrittlich und enthalten so viel Wissen, dass niemand versucht, sie nachzubilden. Angesichts der Handelsherausforderungen zwischen China und den USA werden die Chinesen jedoch erhebliche Anstrengungen unternehmen, um EDA-Tools zu entwickeln. Im Grunde schaffen wir einen Konkurrenten, eine zweite Quelle oder einen weiteren Akteur im Bereich der EDA-Tools.“

Wie wir bei der traditionellen EDA gesehen haben, ist es schwierig, wenn nicht gar unmöglich, ein Unternehmen einzuholen, wenn es weit genug voraus ist, solange es weiter investiert. „Wenn man von Grund auf neu aufbaut, kann man manchmal eine bessere Lösung finden, weil man zu Beginn bessere Technologien nutzen kann“, fügt Drako hinzu. „Aber manchmal, wenn viel los ist, ist es sehr schwierig, bei Null anzufangen und eine bessere, schnellere Lösung zu entwickeln, weil so viel Wissen und Mühe in den gewonnenen Erkenntnissen steckt, die in einen Haufen Code eingeflossen sind. Es dauert lange, den Rückstand aufzuholen.“

Zusammenfassung
Es war ein großartiges Jahr für EDA, egal aus welcher Perspektive man es betrachtet. Neue Technologien und neue Möglichkeiten haben dafür gesorgt, dass noch einige gute Jahre vor uns liegen. Wie wird die Branche reagieren, wenn ein neuer Wettbewerber auftaucht? Dieses Kapitel muss noch geschrieben werden.

Zeitstempel:

Mehr von Semi-Engineering