SPIE 2023 – imec Підготовка до High-NA EUV – Semiwiki

SPIE 2023 – imec Підготовка до High-NA EUV – Semiwiki

Вихідний вузол: 2659110

Конференція SPIE Advanced Lithography відбулася в лютому. Нещодавно я мав нагоду взяти інтерв’ю у Стівена Шеєра, віце-президента компанії imec із вдосконаленого процесу створення візерунків і матеріалів, і переглянути вибрані статті, представлені imec.

Я запитав Стіва, яким було головне повідомлення на SPIE цього року, він сказав, що готовність до High NA є ключовою. Він виділив три ключові області екосистеми:

  1. Інфраструктура технології покращення маски та роздільної здатності (RET).
  2. Матеріали, фоторезист і підкладки.
  3. Метрологія

Інструменти експозиції також, звичайно, є ключовими, але це не те, про що Стів говорить. Примітка авторів – я також буду писати про презентації SPIE ASML.

маски

Далі Стів перерахував проблеми, пов’язані з маскою:

  • Маскуйте 3D-ефекти, такі як зміщення фокуса та втрата контрасту. Висока NA – це експозиція під низьким кутом, що робить 3D-ефекти ще більшою проблемою.
  • Заготовки масок із низькою дефектністю та маски з низькою варіабельністю шорсткості та CD
  • Маски з низьким рівнем шуму потрібні для підвищення контрастності та зменшення 3D-ефектів масок.
  • Методи оптичної корекції близькості.
  • Письмо маска, багатопроменева.
  • Зшивання маски – менший розмір поля сканера вимагає зшивання матриці.
  • 4x в одному напрямку, 8x в іншому напрямку вимагає нового типу дизайну маски, щоб забезпечити зшивання.
  • Пелікули для вищого джерела енергії.

In «CNT плівки: нещодавні результати оптимізації та впливу», Joost Bekaert та ін. досліджували оболонки вуглецевих нанотрубок (CNT).

ASML має 600-ватні системи джерела на своїй дорожній карті, поточні плівки на основі силіциду металу життєздатні лише приблизно до 400 Вт. Пелікули повинні блокувати частинки, мати високу пропускну здатність, достатню механічну міцність, щоб бути підвішеними на площі приблизно 110 мм на 140 мм, і бути міцними. CNT показав до 98% пропускання. EUV-випромінювання настільки енергійне, що створює водневу плазму, яка травить плівку, що зрештою призводить до втрати механічної цілісності плівки. imec оцінює швидкість травлення та те, як стабілізувати плівку.

Швидкість травлення можна оцінити, дивлячись на пропускання, оскільки плівка стоншується травленням, пропускання збільшується. Рисунок 1 ілюструє передачу плівки в часі за різних умов.

Пелікулярна передача
Малюнок 1. Залежність передачі плівки від часу експозиції.

ASML оцінює передачу плівки порівняно з часом опромінення за допомогою офлайн-інструменту плазмового опромінення, і в цій роботі imec продемонструвала опромінення плівки CNT до 3,000 пластин (96 плашок при 30 мДж/см² на пластину) і показала кореляцію між результатами, отриманими від фактичного опромінення сканера і з офлайн-інструменту.

Спочатку плівки містять леткі органічні домішки, отримані в процесі виробництва, які поглинають енергію EUV, поки не згорять, див. зелену та фіолетову криві. Випікання плівки при високих температурах «очищає» плівку шляхом спалювання забруднень, що призводить до зміни швидкості травлення. Нахил двох синіх кривих зумовлений швидкістю травлення. Зелена крива ілюструє «покриту» плівку, яка демонструє нижчу швидкість травлення, однак покриття зменшує пропускання та може бути несумісним з дуже високими рівнями потужності.

Фоторезист

Стів, потім обговорили фоторезист.

Для фоторезисту крок від 24 нм до 20 нм є найкращим місцем для вставки High NA з кроком 16 нм для максимальної роздільної здатності. Хімічно посилений резист (CAR) має низьку продуктивність нижче 24 нм. Металооксидні резисти (MOR) виглядають багатообіцяючими до 17 або навіть 16 нм. Дефектність все ще залишається проблемою. Дози при кроку 24 нм становлять 67 мДж/см2 для MOR і 77мДж/см2 для АВТО. MOR має деякі проблеми зі стабільністю, і чим нижча доза, тим реактивніший/менш стабільний резист. Це виклики, а не покази.

In «Зменшені нанесені нижні шари для EUV-літографії» Гупта та ін. досліджували нижні шари фоторезисту. Оскільки крок зменшується, для того самого шару фоторезисту співвідношення сторін збільшується, що може призвести до згортання малюнка. Покращена адгезія нижнього шару може вирішити цю проблему. Крім того, можна використовувати більш тонкий фоторезист для керування співвідношенням сторін, але це може призвести до проблем із травленням, якщо не буде виявлено високу вибірковість травлення під шаром.

Imec виявив, що поверхнева енергія нанесених підшарів може бути узгоджена з фоторезистом для досягнення покращеної адгезії. Регулювання щільності нанесеного нижнього шару може бути використано для забезпечення покращеної вибірковості травлення.

In «Готовність до створення візерунків Dry Resist для літографії з високою NA EUV», Hyo Sean Suh та ін. з imec і Lam досліджували процес сухого фоторезисту Lam. Для процесів N2+ і A14 очікується, що крок Metal 2 (M2P) становитиме ~24 нм з 15 нм від «кінчик до кінчика» (T2T), а потім на A10 M2P становитиме ~22 нм з <15 нм T2T.

Процес сухого резистування Lam показаний на малюнку 2.

Процес Lam Dry Resist
Малюнок 2. Процес сухого фоторезисту Lam

Випікання після впливу (PEB) сильно спонукало до зниження дози, але вплинуло на перемички та шорсткість. Спільна оптимізація проявлення та травлення зменшує перемички та шорсткість і показує надійне вікно процесу для формування візерунків L/S з кроком 24 нм.

In «Можливість масштабування логічного металу за допомогою єдиного візерунка 0.55 NA EUV», Dongbo Xu та ін. описав оцінку того, чого може досягти система High-NA (0.55NA) за допомогою єдиного шаблону.

Вони дійшли висновку, що крок 24 нм виглядає досяжним. 20 нм виглядає багатообіцяюче в горизонтальному напрямку, але вертикальний напрямок потребує додаткової роботи. Крок 18 нм потребує додаткової роботи.

EUV виявилася дуже складною технологією з точки зору шорсткості лінії та стохастичних дефектів. Directed Self Assembly (DSA) — це технологія, яка існує вже давно, але не набула особливого поширення. Зараз DSA привертає увагу як метод усунення шорсткості лінії та стохастичних дефектів для EUV.

In «РЕКТИФІКАЦІЯ ЛІНІЙНОГО ПРОСТОРУ ЛІТОГРАФІЇ EUV ЗА ДОПОМОГОЮ САМОСКЛАДАННЯ БЛОКОВОГО КОПОЛІМЕРУ: дослідження шорсткості та дефектності», Джулі Ван Бел та ін. виявили, що поєднання DSA з EUV є кращим за процеси DSA на основі імерсійної літографії з меншою шорсткістю лінії та відсутністю дислокаційних дефектів.

In «Пом’якшення стохастики в EUV літографії шляхом спрямованої самозбірки», Lander Verstraete et.al. досліджував використання DSA для пом’якшення стохастичних дефектів у обробці EUV.

Процес imec для виправлення дефектів лінії/простору EUV проілюстровано на малюнку 3.

Виправлення міжрядкового простору
Малюнок 3. Виправлення лінійної/просторової діаграми EUV за допомогою DSA.

Процес imec для усунення дефектів у контактних масивах проілюстровано на малюнку 4.

Ретифікація контактів
Рисунок 4. Виправлення шаблону контакту EUV за допомогою DSA.

EUV плюс DSA виглядає дуже багатообіцяючим для рядків/прогалин з кроком 28 нм, де основним дефектом є мости. З кроком 24 нм потрібне покращення із занадто великою кількістю дефектів моста. Дефекти корелюють із складом блок-сополімеру та часом відпалу.

Для контактних масивів EUV + DSA покращує однорідність локального критичного розміру (LCDU) і помилку розміщення шаблону та забезпечує нижчу дозу.

Метрологія

Оскільки товщина плівки зменшується, метрологічне співвідношення сигнал/шум стає проблемою.

У EUV є вікно дефектного процесу, з одного боку є обрив, де розриви візерунка стають проблемою, а з іншого боку вікон є обрив, де мости між шаблонами стають проблемою.

Коли робиться спроба нового кроку, виникає багато дефектів, які з часом усуваються.

Важко виміряти досить велику площу з достатньою чутливістю. Перевірка електронним променем чутлива, але повільна, оптична перевірка швидка, але не чутлива. Нові тривимірні процеси, такі як CFET, створюють додаткові проблеми.

In «Готовість метрології Dry Resist для High NA EUVL», Джан Франческо Лоруссо та ін. досліджують атомно-силовий мікроскоп (АСМ), перевірку електронним пучком та скануючий скануючий мікроскоп компакт-дисків для визначення характеристик дуже тонких фоторезистів.

Використовуючи процес сухого фоторезисту Lam< CD SEM показали свою життєздатність до фоторезисту товщиною 5 нм. Зі зменшенням товщини резисту шорсткість лінії збільшувалася, придатність для друку дефектів перемичок зменшувалася, а дефекти розриву залишалися незмінними. Згортання візерунка спостерігалося лише на більш товстих плівках. АСМ вимірювання показали зменшення товщини плівки. E Beam показав хорошу фіксацію дефектів навіть для дуже важливих плівок.

In «Метрологія напівпровідників для ери 3D», J. Bogdanowicz et.al., досліджують проблеми метрології на 3D-структурах.

В епоху 3D напрямок Z став новим масштабуванням X/Y. Для логічних пристроїв CFET і Semi damascene представляють труднощі, для пам’яті 3D DRAM є майбутнім викликом, а 3D-з’єднання для System Technology Co Optimization (STCO) є ще одним викликом.

Для процесів горизонтальних нанолистів і CFET характеристика бічних виїмок і заповнення, а також виявлення залишків та інших дефектів у багатошарових стосах будуть критичними. У 3D-пам’яті критично важливими будуть профільування отворів/розділів і аналогічна логіка виявлення прихованих дефектів і залишків у багатошарових плівках. Для додатків STCO ключовою буде цілісність інтерфейсів з’єднання та вирівнювання.

Для традиційної поверхневої метрології вже існує компроміс між чутливістю та швидкістю, тепер ключовим компромісом є глибина перевірки та бічна роздільна здатність. На малюнку 5 представлено залежність глибини зондування від поперечної роздільної здатності та пропускної здатності для різних методів метрології.

Метрологічний пейзаж
Малюнок 5. 3D-метрологічний ландшафт

На рисунку 6 підсумовано поточну готовність 3D-метрології для задоволення різноманітних потреб.

6 Виклики метрології
Рисунок 6. Проблеми 3D-метрології

Як видно з рисунка 6, для досягнення комплексної метрологічної програми ще потрібно подолати багато проблем.

Висновок

Наближається ера High NA EUV. Значний прогрес досягнуто в плівках, фоторезистах, а також у метрології та imec продовжує працювати в усіх трьох областях для подальшого прогресу.

Також читайте:

TSMC витратив набагато більше грошей на 300 мм, ніж ви думаєте

SPIE Advanced Lithography Conference 2023 – Анонс AMAT Sculpta®

IEDM 2023 – 2D матеріали – Intel і TSMC

IEDM 2022 – Imec 4 Track Cell

Поділитися цим дописом через:

Часова мітка:

Більше від Semiwiki