EDA กลับจากเรดาร์ของนักลงทุน

EDA กลับจากเรดาร์ของนักลงทุน

โหนดต้นทาง: 3086897

EDA กำลังเปลี่ยนจากภาคธุรกิจที่นิ่งเฉยแต่มีกลยุทธ์ไปสู่ตลาดการลงทุนที่ร้อนแรง โดยได้แรงหนุนจากรายได้และการเติบโตที่แข็งแกร่ง เสียงเรียกร้องของการออกแบบระดับแนวหน้าและปรับแต่งได้มากขึ้นในตลาดใหม่และที่มีอยู่ และการเปิดตัวเทคโนโลยีขั้นสูง เช่น AI ในหลากหลาย ของเครื่องมือที่จำเป็นสำหรับการพัฒนาสถาปัตยกรรมใหม่ที่มีประสิทธิภาพต่อวัตต์มากขึ้น

การบรรจบกันของเหตุการณ์ต่างๆ ส่งผลให้เป็นปีที่ดีที่สุดปีหนึ่งสำหรับรายการสำคัญ และจาก ผู้เล่น ไม่เพียงแต่ว่าพวกเขาทำได้ดีแค่ไหนในปีที่ผ่านมาในแง่ของยอดขาย กำไร หรือราคาหุ้น แต่ยังรวมถึงวิธีที่จะเกิดขึ้นในอนาคตด้วย ตามรายงานล่าสุดโดย Electronic System Design (ESD) Alliance ของ SEMI รายรับในอุตสาหกรรมเพิ่มขึ้น 25.2% เป็น 4,702.4 ล้านดอลลาร์ในไตรมาสที่สามของปี 2023 เพิ่มขึ้นจาก 3,756.3 ล้านดอลลาร์ในไตรมาสที่สามของปี 2022 ค่าเฉลี่ยเคลื่อนที่สี่ไตรมาสซึ่งเปรียบเทียบ สี่ไตรมาสล่าสุดเป็นสี่ก่อนหน้า เพิ่มขึ้น 13.8% เกือบทุกกลุ่มและภูมิภาคมีการเติบโตอย่างมีนัยสำคัญ และมีการฟื้นตัวอย่างรวดเร็วจากความนุ่มนวลในช่วงต้นปี

แม้แต่ความนุ่มนวลนั้นก็ยังคงอยู่สำหรับผู้เล่น EDA รายใหญ่ บริษัทขนาดเล็กรู้สึกถึงภาวะถดถอยที่รุนแรงยิ่งขึ้น “ในช่วงต้นปี 2023 เรามีการปรับฐานเศรษฐกิจ” Prakash Narain ประธานและซีอีโอของ Real Intent กล่าว “สิ่งต่างๆ ชะลอตัวลง แต่ส่งผลกระทบต่อสตาร์ทอัพมากกว่าส่งผลกระทบต่อบริษัทขนาดใหญ่บางแห่ง จากนั้นมันก็หยิบขึ้นมา ตอนนี้เราเห็นกิจกรรมอีกมากมายที่เกิดขึ้นในบริษัทระบบ นั่นเป็นเรื่องที่น่าตื่นเต้นมากจริงๆ เพราะพวกเขาต้องการซิลิคอนแบบสั่งทำพิเศษ นั่นหมายถึงมีงานออกแบบเพิ่มมากขึ้น และนั่นเป็นเพียงข่าวดีสำหรับอุตสาหกรรม EDA โดยรวมแล้วเป็นปีที่ดีในแง่ที่ว่าการลดลงนั้นสมดุลจากการแกว่งตัวขึ้นในช่วงปลายปี”

การเปลี่ยนแปลงนี้เกิดจากปัจจัยหลายประการ การเติบโตอย่างมากใน ChatGPT ซึ่งเพิ่งเริ่มเมื่อปีที่แล้ว จำเป็นต้องมีการเพิ่มขึ้นอย่างมากในพลังการประมวลผลทั้งหมดที่มีอยู่ รวมถึงเอ็นจิ้นที่หลากหลายเพื่อตอบสนองความต้องการดังกล่าว CPU กำลังถูกแทนที่ด้วยการประมวลผลแบบต่างกัน และเราเห็นว่าระบบคลาวด์กลายเป็นส่วนผสมของ CPU, GPU, โปรเซสเซอร์ AI, ตัวเร่งความเร็วแบบกำหนดเอง, FPGA และอื่นๆ อีกมากมาย โปรเซสเซอร์ใหม่เหล่านี้จำนวนมากได้รับการพัฒนาโดยสตาร์ทอัพหรือโดยไฮเปอร์สเกลเลอร์ ซึ่งจำเป็นสำหรับการปรับปรุงประสิทธิภาพในการประมวลผลประเภทต่างๆ

โปรเซสเซอร์แบบกำหนดเองหลายตัวกำลังเติบโตเกินกว่าขีดจำกัดของเรติเคิล ทำให้ผู้ผลิตชิปต้องแยกส่วนคุณสมบัติต่างๆ โดยใช้บรรจุภัณฑ์ขั้นสูงบางประเภท เช่น 2.5D, 3D-IC และการกระจายแบบหนาแน่นพร้อมเสา สิ่งนี้ต้องการเครื่องมือ EDA ขั้นสูงเพิ่มเติม รวมถึงเครื่องมือใหม่บางอย่าง รวมถึงการใช้เทคโนโลยีจากโดเมนอื่น บางรายถึงกับจัดการกับปัญหาที่ไม่เคยพบเห็นมาก่อนในการออกแบบชิปมาก่อน มัลติฟิสิกส์เป็นคำศัพท์ที่กำลังผุดขึ้นมาทุกที่

ปัจจัยขับเคลื่อนประการที่สามเกี่ยวข้องกับการเร่งการทำงานร่วมกันระหว่าง EDA และพื้นที่ของระบบ ซีเมนส์เป็นคนแรกที่ใช้ประโยชน์จากโอกาสนี้ และบริษัทอื่นๆ ก็ตามมา “Synopsys ต้องการเริ่มคิดเกี่ยวกับสิ่งต่าง ๆ ในระดับระบบ และพวกเขาต้องการนำระเบียบวินัยอื่น ๆ เข้ามาในการต่อสู้” Chris Mueth ผู้อำนวยการฝ่ายการจัดการตลาดใหม่สำหรับกล่าว คีย์ไซท์. “ความซับซ้อนมีการเติบโตแบบทวีคูณ แต่บุคลากรกลับไม่เป็นเช่นนั้น นั่นทำให้เกิดช่องว่าง แล้วคุณจะจัดการกับบางสิ่งที่มีความซับซ้อนเพิ่มขึ้นอย่างทวีคูณเมื่อคุณไม่สามารถขยายขนาดพนักงานของคุณได้? คุณต้องทำให้เวิร์กโฟลว์เป็นอัตโนมัติ คุณไม่มีทางเลือก. คุณต้องรื้อกำแพงและเชื่อมต่อทุกอย่างเข้าด้วยกันและทำงานร่วมกัน และมันจะไม่ใช่ผู้จำหน่ายรายเดียวเช่นกัน ไม่มีทางที่ผู้ขายรายเดียวจะสามารถจัดการกับเรื่องต่างๆ เหล่านี้ได้ ด้วยเหตุผลหลายประการที่แตกต่างกัน มันเป็นการเล่นร่วมกันและเกี่ยวข้องกับระบบนิเวศทั้งหมด”

EDA และ RISC-V
การเปลี่ยนแปลงอีกอย่างหนึ่งคือการนำไปใช้และการยอมรับอย่างรวดเร็ว RISC-V. เมื่อระบบนิเวศเติบโตและเติบโตเต็มที่ บริษัทจำนวนมากขึ้นกำลังรีบเร่งที่จะพัฒนาโปรเซสเซอร์แบบกำหนดเองของตนเอง และพวกเขาก็หมดหวังที่จะใช้เครื่องมือ เครื่องมือประเภทนี้มีอยู่ในอดีต แต่จะลดน้อยลงเมื่อ Arm กลายเป็นซัพพลายเออร์ที่โดดเด่นในตลาด CPU IP แม้ว่าเครื่องมือการพัฒนาโปรเซสเซอร์ที่ขยายได้ยังคงมีอยู่ใน Cadence (Tensilica) และ Synopsys (ARC) แต่เครื่องมือเหล่านี้ก็มีข้อจำกัดในการเข้าถึง ที่กำลังขยายออกไป

“ตลาดแลกเปลี่ยน RISC-V เติบโตขึ้นกว่า 40% นับตั้งแต่ต้นปี 2023 และเราคาดว่าจะเห็นผลิตภัณฑ์ RISC-V ใหม่และนวัตกรรมมากมายออกสู่ตลาดในปี 2024” Calista Redmond ซีอีโอของ RISC-V International กล่าว “ผมประทับใจมากกับความก้าวหน้าทางเทคนิคที่สำคัญของชุมชน โดยให้สัตยาบันข้อกำหนด 16 ข้อ และข้อกำหนดอื่นๆ อีกมากมายที่กำลังดำเนินการอยู่ เรายังเห็นประกาศสำคัญๆ ของ RISC-V ในกลุ่มต่างๆ อีกด้วย ตัวอย่างเช่น Meta แชร์ว่าบริษัทกำลังใช้ RISC-V สำหรับตัวแปลงรหัสวิดีโอ ตัวเร่งการอนุมาน และชิปการฝึกอบรม นอกจากนี้ Qualcomm ยังเน้นย้ำด้วยว่าได้จัดส่งอุปกรณ์หนึ่งพันล้านเครื่องที่มีไมโครคอนโทรลเลอร์ RISC-V และกำลังนำเสนอโซลูชันอุปกรณ์สวมใส่ที่ใช้ RISC-V สำหรับ Wear OS by Google ออกสู่ตลาด”

ปัญหาบางอย่างเกี่ยวกับ RISC-V ยังคงอยู่ แต่ถึงกระนั้นก็ดีสำหรับ EDA “การตระหนักรู้บางประการกำลังเกิดขึ้นเกี่ยวกับการยืนยัน” Frank Schirrmeister รองประธานฝ่ายโซลูชั่นและการพัฒนาธุรกิจของกล่าว หลอดเลือดแดง. “เสรีภาพในการสร้างสรรค์นวัตกรรมมาพร้อมกับความรับผิดชอบอันยอดเยี่ยมในการตรวจสอบ และระบบนิเวศ RISC-V จะต้องมีการพัฒนาเพิ่มเติมเพื่อรองรับการเติบโต นอกเหนือจากการตรวจสอบแล้ว ผู้ใช้ยังชี้ให้เห็นในการประชุมสุดยอด RISC-V ว่าแง่มุมต่างๆ เช่น IOMMU, การดีบักและการติดตาม, ตัวควบคุมการขัดจังหวะ, การจัดการพลังงาน และการเชื่อมโยงกันทั่วทั้ง SoC จำเป็นต้องมีระบบนิเวศเพื่อรองรับการเติบโตของ RISC-V อย่างต่อเนื่อง”

ปลายปี 2023 Synopsys เข้าซื้อกิจการ Imperas อย่างเงียบๆ ซึ่งเป็นผู้ให้บริการชั้นนำของโมเดลอ้างอิง RISC-V และชุดเครื่องมือตรวจสอบที่กำลังเติบโตซึ่งมุ่งเป้าไปที่ RISC-V นอกจากนี้ Synopsys ยังได้ประกาศ ARC-V ซึ่งเป็นบริษัทร่วมทุนที่ต้องใช้ประสบการณ์หลายปีกับโปรเซสเซอร์ที่ขยายได้ ARC และนำไปใช้กับสถาปัตยกรรม RISC-V

แม้ว่าฮาร์ดแวร์โอเพ่นซอร์สกำลังได้รับความสนใจ แต่ EDA แบบโอเพ่นซอร์สกลับไม่เป็นเช่นนั้น “Good EDA ต้องใช้เงินเป็นจำนวนมาก” Ashish Darbari ผู้ก่อตั้งและ CEO ของ กล่าว สัจพจน์. “ผู้ที่นำ EDA มาใช้คือบริษัทที่ใช้เครื่องมือเหล่านั้นเพราะพวกเขาใส่ใจเรื่องคุณภาพและเวลาในการออกสู่ตลาด พวกเขาต้องการทำให้ถูกต้องในครั้งแรก บริษัทเหล่านั้น โดยเฉพาะบริษัทซิลิคอนเก่าๆ ของโลก ไม่ได้เปิดรับโอเพ่นซอร์สรูปแบบใหม่ของ EDA ที่ราคาถูกกว่าเล็กน้อย EDA แบบโอเพ่นซอร์สยังไม่ได้ตัดทอนสำหรับพื้นที่ RISC-V โชคไม่ดี เหตุผลที่ใหญ่ที่สุดคือผู้จำหน่ายซิลิคอน RISC-V และบริษัททั้งหมดที่สร้างซิลิคอน ไม่ได้ลงทุนใน EDA แบบโอเพ่นซอร์ส”

AI ภายใน EDA
EDA มีลูกศรใหม่ในลูกธนู — AI บริษัท EDA เลือกใช้ AI อย่างเฉพาะเจาะจงในช่วงทศวรรษที่ผ่านมา แต่ประโยชน์ของมันก็เพิ่มมากขึ้น

ประเภทของ AI ที่ใช้ใน EDA อาจไม่เซ็กซี่เท่ากับ AI เชิงสร้างสรรค์ ดังที่เห็นได้จากเครื่องมืออย่าง ChatGPT อย่างไรก็ตาม การเรียนรู้แบบเสริมกำลังแสดงให้เห็นว่าสามารถทดแทนงานบางอย่างที่มนุษย์ทำอยู่ในปัจจุบันได้ดี โดยเฉพาะอย่างยิ่งเมื่อเกี่ยวข้องกับความสัมพันธ์ที่ซับซ้อนระหว่างปัจจัยต่างๆ

มีโอกาสน้อยที่ AI จะมาแทนที่นักออกแบบ “AI ได้รับการฝึกฝน” Dean Drako ซีอีโอของ IC Manage กล่าว “ถ้าคุณฝึก AI ของคุณ มันจะเรียนรู้จากสิ่งที่ได้ทำไปแล้ว ถ้าฉันฝึก AI ด้วยแอดเดอร์ 1,000 แบบ มันจะรู้ว่าแอดเดอร์คืออะไร จากนั้นฉันสามารถขอให้ออกแบบตัวบวกด้วยชุดคุณลักษณะที่กำหนดไว้ได้ แต่ฉันจะไม่ให้ AI มาสร้างแนวคิดเรื่องชิปเล็ต เพราะมันไม่เคยเกิดขึ้นมาก่อน ส่วนที่สำคัญที่สุดของสิ่งที่เราทำในโลก EDA และในโลกแห่งการออกแบบ คือการคิดค้นสิ่งใหม่ๆ ทุกครั้งที่เราสร้างชิปใหม่ เรากำลังประดิษฐ์บางสิ่งที่ดีกว่าชิปตัวที่แล้ว เราไม่ได้ทำสิ่งเดียวกัน AI จะทำงานได้ดีมากในการทำงานซ้ำๆ”

วิศวกรรมศาสตร์เป็นการผสมผสานระหว่างความคิดสร้างสรรค์และระเบียบวิธี “ส่วนที่สร้างสรรค์นั้นค่อนข้างเล็ก” Narain จาก Real Intent กล่าว “ยังมีส่วนที่เป็นระบบ ซึ่งคุณจะต้องป้อนโค้ด สร้างฟังก์ชันนั้น และทดสอบ ซึ่งต้องใช้เวลาทางวิศวกรรมมาก มีความเคลื่อนไหวไปสู่การเพิ่มผลผลิตของวิศวกร การทำให้ระเบียบวิธีเป็นระบบอัตโนมัติมากขึ้น จะทำให้คุณมีเวลามากขึ้นในการพิจารณามิติอื่นๆ”

มันสามารถให้ผลกำไรที่แท้จริงได้เช่นกัน “Big Four ออกมาพร้อมกับการปรับปรุง AI ในปีที่ผ่านมา” Rich Goldman ผู้อำนวยการของกล่าว คำตอบ. “บริษัทอย่าง Synopsys ซึ่งทำการสังเคราะห์ตรรกะมาเป็นเวลา 40 ปี กลับให้ผลลัพธ์ที่ดีขึ้นอย่างกะทันหันเนื่องจาก AI มันน่าทึ่งมากที่บางสิ่งแบบนั้นสามารถเปลี่ยนแปลงได้มากขนาดนี้”

ประโยชน์ส่วนใหญ่มาจากการใช้การเรียนรู้แบบเสริมกำลัง “แต่ตอนนี้ generative AI กำลังปลดล็อกความสามารถระดับใหม่ทั้งหมด” Neil Hand ผู้อำนวยการฝ่ายการตลาดส่วน IC ของ ซีเมนส์ EDA. “วิถีดังกล่าวน่าประทับใจ ไม่ใช่แค่จากสิ่งที่ปลดล็อคในแง่เทคนิคเท่านั้น แต่ยังปลดล็อคจากการรับรู้ของผู้ใช้ด้วย หากคุณย้อนกลับไปสองสามปี ผู้คนไม่ได้สนใจจริงๆ ว่าบางสิ่งจะสำเร็จได้อย่างไร ตราบเท่าที่มันเสร็จสิ้นแล้ว หากคุณพูดถึงแมชชีนเลิร์นนิง ผู้คนจะค่อนข้างสงสัยและไม่เชื่อถือมันอย่างเต็มที่ ตอนนี้มันปลิวไปหมดแล้ว ผู้คนไว้วางใจ AI และ ML ผู้คนอยากรู้ว่าคุณกำลังทำอะไรอยู่ พวกเขาต้องการอยู่หลังจอและทำความเข้าใจว่ามีการใช้เทคโนโลยีใดบ้าง”

มีความเป็นไปได้อีกมากมายรออยู่ข้างหน้า “หนึ่งในความท้าทายที่ฉันเผชิญในฐานะนักออกแบบเครื่องมือ EDA คืออินเทอร์เฟซของมนุษย์” Narain กล่าว “เราคำนวณข้อมูลจำนวนมากที่ต้องนำเสนอต่อผู้ใช้ AI สามารถทำให้ส่วนของกระบวนการปรับพารามิเตอร์โดยอัตโนมัติตามรูปแบบที่เราในฐานะมนุษย์ไม่สามารถมองเห็นได้ นั่นคือความสามารถในการค้นหารูปแบบในสิ่งที่ไม่รู้จัก นั่นอาจเป็นกระบวนการที่ยาวนาน”

EDA พบกับความเคารพ
EDA ไม่ได้ถูกมองว่าเป็นอุตสาหกรรมที่คุ้มค่ากับการลงทุนมาเป็นเวลานานแล้ว “เซมิคอนดักเตอร์และ EDA กลับมาเจ๋งอีกครั้ง” Siemens' Hand กล่าว “นั่นอาจเป็นหนึ่งในเรื่องที่น่าประหลาดใจที่สุดสำหรับฉัน หลังจากหลายปีของการเป็นแนวหน้าและตรงกลางน้อยลง แม้ว่าเราจะเปลี่ยนแปลงโลกในแต่ละวัน แต่ก็ยังไม่มีใครเห็น ปีที่แล้วเรามีพนักงานเซมิคอนดักเตอร์ที่ทำเนียบขาว เรามีเจ้าหน้าที่ EDA พูดคุยกับประธานาธิบดี ทันใดนั้นเราก็ปรากฏให้เห็น”

นั่นสะท้อนให้เห็นในการประเมินมูลค่า “เป็นเรื่องดีมากที่ในที่สุดตลาดสาธารณะก็ยอมรับถึงการมีส่วนร่วมของ EDA” Narain กล่าว “EDA ถูกประเมินค่าต่ำเกินไปมาเป็นเวลานานแล้ว ฉันต้องให้เครดิตฝ่ายบริหารของบริษัทขนาดใหญ่ที่มีส่วนสำคัญในการทำให้สิ่งนี้เกิดขึ้น”

มันเป็นสิ่งที่ดีเกินไปหรือเปล่า? “ฉันรู้สึกประหลาดใจกับมูลค่าตามราคาตลาดของบริษัท EDA” Drako จาก IC Manage กล่าว “พวกมันสูงอย่างน่าหัวเราะ มันไม่น่าเชื่อเลย ค่อนข้างสมเหตุสมผลหรือเกินกำหนดชำระบางที ธุรกิจ EDA เป็นธุรกิจที่เอื้ออำนวยต่อทุกสิ่งในชีวิตของเรา แต่อุตสาหกรรม EDA กลับกลายเป็นแหล่งน้ำนิ่งที่ไม่ได้ทำเงินได้มากมายและไม่ได้รับความเคารพมากนัก เราใช้เวลาถึง 50 ปี แต่ความเคารพและเงินทองที่จะมาสู่โลก EDA นั้นค้างชำระมานานแล้ว”

การประเมินมูลค่าที่สูงมีแนวโน้มที่จะทำให้การเข้าซื้อกิจการมีความเป็นไปได้มากขึ้น และเราได้เห็นกิจกรรมที่เพิ่มขึ้นในด้านนั้นอย่างแน่นอน หากการควบรวมกิจการของ Synopsys และ Ansys ที่ประกาศเมื่อเร็ว ๆ นี้ปิดตัวลง มันจะเป็นการทำธุรกรรมขององค์กรที่ใหญ่ที่สุดที่เห็นมาเป็นเวลานานมาก

ขอบฟ้ามีเมฆมาก
แต่มีเมฆอย่างน้อยหนึ่งก้อนอยู่บนขอบฟ้า แรงผลักดันแบบเดียวกันที่ทำให้ EDA มองเห็นได้ชัดเจนยิ่งขึ้นนั้นยังเชื่อมโยงกับการวางตำแหน่งทางภูมิรัฐศาสตร์ที่อาจมีผลกระทบในระยะยาว “ฉันมีรายการโครงการริเริ่มระดับประเทศประมาณ 15 โครงการในประเทศต่างๆ สำหรับการระดมทุนให้กับเซมิคอนดักเตอร์และ EDA” Hand กล่าว “พระราชบัญญัติชิปส์เป็นเพียงตัวอย่างหนึ่งเท่านั้น ในทางกลับกัน สิ่งนี้ก็สร้างปัญหาเช่นกัน เนื่องจากหนึ่งในตลาดใหญ่คือจีน คุณจะจัดการกับข้อจำกัดในการส่งออกอย่างไร? คุณจะนำทางสิ่งเหล่านั้นอย่างไร เพื่อที่คุณจะได้ยังคงทำให้พวกเขาทำสิ่งที่พวกเขาได้รับอนุญาตให้ทำ แต่ทำในลักษณะที่เราไม่ได้ละเมิดข้อจำกัดใดๆ เลย”

มีการสร้างแฟบส์มากมาย “กฎหมาย CHIPS กำลังเร่งแผนการก่อสร้างโรงหล่อเซมิคอนดักเตอร์ ซึ่งอาจส่งผลกระทบอย่างมีนัยสำคัญต่อวงจรเซมิคอนดักเตอร์” Goldman ของ Ansys กล่าว “ต้องใช้เวลาสักระยะหนึ่งในการสร้างโรงหล่อใหม่ คุณเห็นโรงหล่อในรัฐแอริโซนาร่วมกับ TSMC และ Intel ตลอดจนโอไฮโอและนิวยอร์กตอนกลาง และสถานที่ที่เราไม่เคยเห็นมาก่อน ใน EDA เราได้เห็นความพยายามอย่างมากเบื้องหลังว่าเงินไปอยู่ที่ไหน แต่เงินดังกล่าวยังไม่ได้รับการเผยแพร่ ฉันคิดว่าเราจะได้เห็นผลกระทบนั้นในปีหน้า”

จำเป็นต้องเติมกำลังการผลิตพิเศษทั้งหมดนั้น หากโรงงานเหล่านั้นสามารถนำไปใช้ได้ในเชิงเศรษฐกิจ “ด้วยกฎหมาย CHIPS Act พวกเขากำลังมองหาการทำให้การออกแบบชิปเป็นประชาธิปไตย และการเข้าถึงเครื่องมือ ไลบรารี และ IP เพื่อให้ทีมจำนวนมากขึ้นสามารถออกแบบชิปขั้นสูงได้” Marc Swinnen ผู้อำนวยการฝ่ายการตลาดผลิตภัณฑ์ของ Ansys กล่าว “พวกเขายังต้องการที่จะมีความก้าวหน้าในด้าน EDA ด้วยการวิจัยในมหาวิทยาลัย และพวกเขาต้องการผู้จำหน่าย EDA เพื่อจัดตั้งกลุ่มความร่วมมือที่พวกเขาทั้งหมดต้องต่อสู้กันอย่างอึดอัดเล็กน้อยที่อยู่ติดกันในที่ว่างเดียวกัน มีผู้บุกเบิกมากมายที่ต้องทำ พวกเขาต้องการอะไรจากด้านการออกแบบ และวิธีที่เราจะไปถึงจุดนั้น กำลังดำเนินการอยู่ในขณะนี้”

ในทุกการกระทำจะมีปฏิกิริยาที่เท่ากันและตรงกันข้าม “สิ่งที่กำลังจะเกิดขึ้นคือผลลัพธ์ที่ไม่ได้ตั้งใจ” ดราโกกล่าว “เครื่องมือ EDA ส่วนใหญ่ผลิตโดยบริษัทอเมริกัน เครื่องมือเหล่านั้นล้ำหน้ามาก มีความรู้มากมายจนไม่มีใครพยายามสร้างมันขึ้นมาใหม่ แต่ด้วยความท้าทายทางการค้าระหว่างจีนและสหรัฐอเมริกา ชาวจีนจะใช้ความพยายามอย่างมากในการพัฒนาเครื่องมือ EDA โดยพื้นฐานแล้วเรากำลังสร้างคู่แข่งหรือสร้างแหล่งที่สองหรือสร้างผู้เล่นรายอื่นในพื้นที่เครื่องมือ EDA”

ดังที่เราได้เห็นใน EDA แบบดั้งเดิม เมื่อบริษัทหนึ่งก้าวไปข้างหน้ามากพอ จะไล่ตามพวกเขาให้ทันเป็นเรื่องยาก ตราบใดที่พวกเขายังคงลงทุนต่อไป “บางครั้ง เมื่อคุณสร้างตั้งแต่ต้นจนจบ คุณสามารถสร้างโซลูชันที่ดีกว่าได้ เนื่องจากคุณสามารถใช้เทคโนโลยีที่ดีกว่าได้เมื่อคุณเริ่มต้น” Drako กล่าวเสริม “แต่ในบางครั้ง เมื่อมีสิ่งต่างๆ มากมายเกิดขึ้น มันยากมากที่จะเริ่มต้นจากศูนย์และสร้างโซลูชันที่ดีขึ้นและเร็วขึ้น เนื่องจากบทเรียนที่ได้เรียนรู้และความพยายามมากมายได้รวมเข้ากับโค้ดกองโต ใช้เวลานานในการติดตาม”

สรุป
เป็นปีที่ยอดเยี่ยมสำหรับ EDA ไม่ว่าคุณจะมองในแง่ใดก็ตาม เทคโนโลยีใหม่และโอกาสใหม่ ๆ ช่วยให้มั่นใจว่าปีที่ดีอีกสองสามปีข้างหน้ารออยู่ข้างหน้า อุตสาหกรรมจะตอบสนองอย่างไรหากมีคู่แข่งรายใหม่เกิดขึ้น? บทนั้นยังไม่ได้เขียน

ประทับเวลา:

เพิ่มเติมจาก กึ่งวิศวกรรม