Chiplets heterogenitet och avancerad schemaläggning med pipelining

Chiplets heterogenitet och avancerad schemaläggning med pipelining

Källnod: 3058733

En teknisk artikel med titeln "Inter-Layer Scheduling Space Exploration for Multi-model Inference on Heterogeneous Chiplets" publicerades av forskare vid University of California Irvine.

Sammanfattning:

"För att möta den ökande efterfrågan på datoranvändning från de senaste arbetsbelastningarna med flera modeller med tunga modeller som stora språkmodeller, föreslår vi att man distribuerar heterogena chiplet-baserade multi-chip modul (MCM)-baserade acceleratorer. Vi utvecklar ett avancerat ramverk för schemaläggning för heterogena MCM-acceleratorer som heltäckande tar hänsyn till komplex heterogenitet och pipelining mellan chiplets. Våra experiment med vårt ramverk på GPT-2- och ResNet-50-modeller på ett 4-chipletsystem har visat upp till 2.2x och 1.9x ökning av genomströmning och energieffektivitet, jämfört med en monolitisk accelerator med ett optimerat output-stationärt dataflöde."

Hitta tekniskt dokument här. Publicerad december 2023 (förtryck).

Odema, Mohanad, Hyoukjun Kwon och Mohammad Abdullah Al Faruque. "Inter-Layer Scheduling Space Exploration for Multi-model Inference on Heterogene Chiplets." arXiv förtryck arXiv:2312.09401 (2023).

Relaterad läsning
Chiplets: 2023 (e-bok)
Vad är chiplets, vad de används till idag och vad de kommer att användas till i framtiden.
Förbereder för kommersiella chips
En expertdiskussion om vad som saknas, vilka förändringar som är på gång och varför chiplets blir alltmer nödvändiga.

Tidsstämpel:

Mer från Semi-teknik