RISC-V och Chiplets: A Panel Discussion - Semiwiki

RISC-V och Chiplets: A Panel Discussion – Semiwiki

Källnod: 3019558

panel

Vid det senaste RISC-V-toppmötet kallades den allra sista sessionen en panel om chiplets Chiplets i RISC-V ekosystemet. Den modererades av Calista Redmond, VD för RISC-V International. Paneldeltagarna var:

  • Laurent Moll, COO för Arteris
  • Aniket Saha, VP of Product Management på Tenstorrent
  • Dale Greenley, VP of Engineering hos Ventana Microsystems
  • Rob Aitken, Distinguished Architect of Synopsys

Det här är en lite udda kombination av ämnen för mig. Självklart kan du sätta en RISC-V-processor på en chiplet men utmaningarna skiljer sig inte riktigt från någon annan processor. Men RISC-V är hett och chiplets likaså, och företag som Ventana kombinerar dem.

Låt mig ge dig lite bakgrund om företagen för att sätta dem i sitt sammanhang:

  • Som du säkert vet gör Arteris nätverk-på-chip (NoCs). Det är ett neutralt företag bland chiplet-leverantörer (och IP-leverantörer).
  • Tenstorrent designar en portfölj av mycket högpresterande flerkärniga RISC-V-chips
  • Ventana har RISC-V IP men den levererar den också som chiplets
  • Synopsys är uppenbarligen ett EDA-företag men de tillkännagav RISC-V-kärnor tidigare under toppmötet

]risc-v chiplets

Den faktiska diskussionen

Den första frågan från Calista var en softball som frågade vad som var värdet av chiplets.

Dale sa att det inte fanns något specifikt om RISC-V för chiplets men marknaden bestämmer när du gör stora monolitiska saker eller chiplets. Det beror på vad en kund kommer att betala dig för att göra. "Vi tillhandahåller både IP och chiplets, det finns plats för båda."

Aniket sa att "att göra chiplets är inte billigt men att göra chiplets och RISC-V är flexibelt och du kan komma på huggprodukter snabbt."

Laurent gick för produktionskostnader. NRE är mycket viktigt att hålla under kontroll eftersom det inte är många som bygger 100M delar. Så det finns fler leverantörer inblandade och en komplicerad leveranskedja. En SoC är komplex men chiplets är värre.

Rob påpekade heterogenitet som att lägga till chiplets för RF och analog, ha en valfri accelerator och så vidare. Detta öppnar potentiellt nya marknader.

Calista fortsatte med att fråga om var vi är inom bilindustrin.

Aniket påpekade att bilindustrin är mycket konservativ och nu är de aggressiva när det gäller plattformar som kan skalas från lågprisbilar till avancerade bilar. Med chiplets har ingen riktigt tänkt på funktionell säkerhet.

Rob gick till rymdfart (inte riktigt bil) och diskuterade hur det vanligtvis finns en fast fysisk volym definierad för decennier sedan. Det är svårt att få in saker.

Laurent Moll 2 färg

Laurent: Bilföretag är de ultimata katalogköparna och chiplets låter dem ta det bästa inom AI, radar, infotainment och så vidare.

Hur får du programvaran att köra?

Rob: om du gör systemet litet är det bra. Men att handla bilkataloger gör det svårare.

Aniket: Relaterade ett uttalande "om du lägger till det kommer vi inte att använda det". Programvara för fordon kommer att stödja RISC-V om 5 år, vilket är snabbt. Det tog Arm 15 år att komma dit.

F: Vad behöver vi för anslutning?

Laurent: Det är väldigt komplicerat, särskilt med folk som shoppar runt efter chiplets. PHYs från olika leverantörer kan vara interoperabla. Alla är sugna på UCIe. Folk vill ha standarder som gör att chiplets passar bättre.

Aniket klagade på att det inte finns några standarddesignflöden för chiplet. Stor brist på standarder.

Rob tror att vi kan komma med ett standardflöde men med olika chiplets vill vi inte ha N olika designflöden.

F: Var ser du saker om 3-5 år?

Rob: vi kommer att vara längre tillsammans med olika

"katalogshopping beror kanske på OEM-tillverkare för bilar. Det kommer att krävas mycket branschansträngning. Alla heterogena saker kommer att ta längre tid.

Aniket sa att chiplets först kommer att finnas i datacentret och sedan i bilindustrin. Men första vågen kommer att vara en leverantör.

Sammanfattning

risc-v chiplets

Detta är en kombination av saker som deltagarna sa och mina egna åsikter.

Jag tror att för närvarande kommer chipletbaserade RISC-V-designer att vara en enda företagsansträngning (förutom kanske för högbandbreddsminne (HBM). Det är för komplicerat att bygga konstruktioner med flera chiplets från olika företag, interposers , och nätverket för att ansluta dem alla, vanligtvis känd som RDL.

Designen kommer att vara 2.5D, inte äkta 3D (där tärningarna staplas ovanpå varandra och kommunicerar med thru-silikon-vias eller TSVs) under överskådlig framtid.

Automotive har sin egen uppsättning utmaningar, i synnerhet att se till att chipletbaserade konstruktioner är tillförlitliga i en miljö med mycket vibrationer. Detta kommer att kräva omfattande tester. En annan fråga är att säkerställa funktionell säkerhet i en miljö med flera stansar.

UCIe är lovande och är något baserat på PCIe. PCIe-företag säkerställde tillförlitlighet genom plugfests. Jag ser inte hur man ekonomiskt kan säkerställa UCIe-kompatibilitet i chiplets genom en liknande mekanism.

Slutligen, förutom tekniska utmaningar, finns det kommersiella utmaningar om vi ska komma till nirvana att kunna köpa chiplets från hyllan och montera dem till system till en rimlig kostnad. Den största utmaningen är vem som ska betala för och hålla inventeringen av chiplets. Om alla chiplets måste tillverkas på begäran kommer många av fördelarna med en snabb cykeltid att gå förlorade.

Men RISC-V-chiplets kommer verkligen snabbt i form av multi-die-designer på 2.5D-interposers byggda av ett enda företag.

Läs också:

NoCs ger arkitekter flexibilitet i system-in RISC-V-design

Parning av RISC-V-kärnor med NoC binder samman SoC-protokoll

#60DAC Uppdatering från Arteris

Dela det här inlägget via:

Tidsstämpel:

Mer från Semiwiki