EDA de volta ao radar dos investidores

EDA de volta ao radar dos investidores

Nó Fonte: 3086897

A EDA está a transformar-se de um sector sóbrio mas estratégico num mercado de investimento aquecido, alimentado por fortes lucros e crescimento, um clamor por designs de ponta e cada vez mais personalizados em mercados novos e existentes, e pela implantação de tecnologias avançadas, como a IA, para uma gama de ferramentas que serão necessárias para desenvolver novas arquiteturas com desempenho por watt muito maior.

Uma confluência de eventos resultou em um dos melhores anos de todos os tempos para os principais EDA jogadores, não apenas pelo seu desempenho no ano passado em termos de vendas, lucros ou preço das ações, mas também pelo desempenho que poderá ter no futuro. Conforme relatado recentemente pela Aliança de Design de Sistema Eletrônico (ESD) da SEMI, a receita da indústria aumentou 25.2% para US$ 4,702.4 milhões no terceiro trimestre de 2023, acima dos US$ 3,756.3 milhões registrados no terceiro trimestre de 2022. A média móvel de quatro trimestres, que compara dos quatro trimestres mais recentes em relação aos quatro anteriores, subiu 13.8%. Quase todos os segmentos e regiões registaram um crescimento significativo e houve uma rápida recuperação da fraqueza no início do ano.

Mesmo essa suavidade foi contida para os grandes jogadores da EDA. A recessão foi sentida mais fortemente pelas pequenas empresas. “No início de 2023, tivemos a correção económica”, diz Prakash Narain, presidente e CEO da Real Intent. “As coisas desaceleraram, mas afetaram mais as startups do que algumas das maiores empresas. Então ele pegou. Agora vemos muito mais atividades acontecendo nas empresas de sistemas. Na verdade, isso é muito interessante porque eles precisam de silício personalizado. Isso significa que mais trabalho de design acontece, e isso é uma ótima notícia para a indústria de EDA. No geral, foi um bom ano, no sentido de que a queda foi compensada pela recuperação no final do ano.”

Essa transformação é impulsionada por vários fatores. O enorme crescimento do ChatGPT, que só começou no ano passado, requer um aumento significativo no poder computacional total disponível, bem como mecanismos diversificados para satisfazer essa necessidade. As CPUs estão sendo substituídas por computação heterogênea e vemos a nuvem se tornando uma mistura de CPUs, GPUs, processadores de IA, aceleradores personalizados, FPGAs e muito mais. Muitos desses novos processadores estão sendo desenvolvidos por startups ou por hiperescaladores, que são essenciais para melhorar a eficiência em diferentes classes de computação.

Muitos desses processadores personalizados estão ultrapassando o limite do retículo, forçando os fabricantes de chips a decompor diferentes recursos usando algum tipo de embalagem avançada, como 2.5D, 3D-ICs e fan-outs densos com pilares. Isto requer ferramentas de EDA mais avançadas, incluindo algumas ferramentas novas, bem como a utilização de tecnologias de outros domínios. Alguns até abordam problemas que nunca foram vistos antes no design de chips. Multifísica é um termo que está surgindo em todos os lugares.

Um terceiro fator envolve a aceleração de sinergias entre a EDA e o espaço de sistemas. A Siemens foi a primeira a aproveitar esta oportunidade e outras seguiram-na. “A Synopsys quer começar a pensar nas coisas a nível de sistema e quer trazer outras disciplinas para a briga”, diz Chris Mueth, diretor de gestão de novos mercados da Keysight. “A complexidade está crescendo exponencialmente, mas a força de trabalho não. Isso deixa uma lacuna. Então, como lidar com algo que está crescendo exponencialmente em complexidade quando você não consegue dimensionar sua força de trabalho? Você tem que automatizar fluxos de trabalho. Você não tem escolha. Você tem que derrubar as paredes e fazer com que tudo esteja conectado e funcionando em conjunto. E também não será um fornecedor. Não há como um único fornecedor lidar com todas essas coisas diferentes, por diversos motivos. É um jogo de interoperabilidade e envolve todo o ecossistema.”

EDA e RISC-V
Outra transformação é a rápida adoção e aceitação de RISC-V. À medida que o ecossistema cresce e amadurece, um número crescente de empresas está a tomar a iniciativa de desenvolver os seus próprios processadores personalizados e estão desesperadas por ferramentas. Esses tipos de ferramentas existiam no passado, mas diminuíram quando a Arm se tornou o fornecedor dominante no mercado de CPU IP. Embora ferramentas extensíveis de desenvolvimento de processadores continuassem a existir na Cadence (Tensilica) e na Synopsys (ARC), seu alcance era bastante limitado. Isso agora está se estendendo.

“O RISC-V Exchange cresceu mais de 40% desde o início de 2023 e esperamos ver muitos produtos RISC-V novos e inovadores chegando ao mercado em 2024”, disse Calista Redmond, CEO da RISC-V International. “Fiquei realmente impressionado com o progresso técnico significativo da comunidade, ratificando 16 especificações e muitas mais a caminho. Também vimos grandes anúncios do RISC-V em vários segmentos. Por exemplo, Meta compartilhou que a empresa está usando RISC-V para transcodificadores de vídeo, aceleradores de inferência e chips de treinamento. Além disso, a Qualcomm destacou que vendeu um bilhão de dispositivos com microcontroladores RISC-V e está trazendo ao mercado uma solução wearables baseada em RISC-V para Wear OS by Google.”

Alguns problemas com o RISC-V permanecem, mas mesmo estes são bons para a EDA. “Alguma compreensão está se estabelecendo sobre a verificação”, diz Frank Schirrmeister, vice-presidente de soluções e desenvolvimento de negócios da Arteris. “A liberdade para inovar exige uma grande responsabilidade de verificação, e o ecossistema RISC-V exigirá maior maturação para apoiar o crescimento. Além da verificação, os usuários apontaram no RISC-V Summit que aspectos como IOMMUs, depuração e rastreamento, controladores de interrupção, gerenciamento de energia e coerência em todo o SoC precisam de trabalho do ecossistema para apoiar o crescimento contínuo do RISC-V.”

No final de 2023, a Synopsys adquiriu discretamente a Imperas, fornecedora líder de modelos de referência RISC-V e um conjunto crescente de ferramentas de verificação voltadas para RISC-V. Além disso, a Synopsys anunciou o ARC-V, um empreendimento que utiliza anos de experiência com o processador extensível ARC e o aplica à arquitetura RISC-V.

Embora o hardware de código aberto esteja ganhando força, o EDA de código aberto não está. “Uma boa EDA exige muito dinheiro”, diz Ashish Darbari, fundador e CEO da Axiomizar. “Os adotantes do EDA são empresas que usam essas ferramentas porque se preocupam com a qualidade e o tempo de lançamento no mercado. Eles querem acertar na primeira vez. Essas empresas, especialmente as antigas fabricantes de silício do mundo, não estão a abrir-se a novas formas de EDA de código aberto e ligeiramente mais baratas. Infelizmente, o EDA de código aberto ainda não foi adequado para o espaço RISC-V. A maior razão para isso é que os fornecedores de silício RISC-V, e todas as empresas que constroem silício, não estão investindo em EDA de código aberto.”

IA dentro da EDA
A EDA tem uma nova flecha em sua aljava – a IA. As empresas de EDA têm adoptado selectivamente a IA ao longo da última década, mas a sua utilidade está a aumentar.

O tipo de IA usado na EDA pode não ser tão atraente quanto a IA generativa, conforme demonstrado por ferramentas como o ChatGPT. No entanto, a aprendizagem por reforço tem demonstrado ser boa na substituição de algumas das tarefas mais repetitivas atualmente executadas pelos humanos, especialmente quando se trata de relações complexas entre muitos fatores.

É menos provável que a IA substitua os designers. “A IA é treinada”, diz Dean Drako, CEO da IC Manage. “Se você treinar sua IA, ela aprenderá com o que já foi feito. Se eu treinar IA com 1,000 designs de somador, ela saberá o que é um somador. Então posso pedir que ele projete um somador com um conjunto definido de características. Mas não vou fazer com que a IA tenha a ideia dos chips, porque isso nunca foi feito antes. A parte mais importante do que fazemos no mundo EDA e no mundo do design é criar coisas novas. Cada vez que fazemos um novo chip, estamos inventando algo que é melhor que o anterior. Não estamos fazendo a mesma coisa. A IA será muito boa em realizar tarefas repetitivas.”

Engenharia é uma combinação de criativo e metódico. “A parte criativa é relativamente pequena”, diz Narain da Real Intent. “Depois tem a parte metódica, onde você insere o código, cria aquela funcionalidade, testa. Isso leva muito tempo de engenharia. Há um movimento em direção ao aumento da produtividade dos engenheiros. Ao automatizar mais o metódico, você está dando a eles mais tempo para considerar outras dimensões.”

Também pode proporcionar alguns ganhos reais. “As Quatro Grandes lançaram melhorias de IA no ano passado”, diz Rich Goldman, diretor da Ansys. “Empresas como a Synopsys, que fazem síntese lógica há 40 anos, de repente fornecem melhores resultados por causa da IA. É incrível que algo assim possa mudar tanto.”

Muitos desses ganhos vêm da utilização da aprendizagem por reforço. “Mas agora a IA generativa está desbloqueando um nível totalmente novo de capacidades”, diz Neil Hand, diretor de marketing do segmento IC da Siemens EDA. “Essa trajetória tem sido impressionante, não apenas pelo que é desbloqueado em termos técnicos, mas pelo que é desbloqueado na percepção dos usuários. Se você voltar alguns anos, verá que as pessoas realmente não se importavam com a forma como algo era feito, desde que fosse feito. Se você falasse sobre aprendizado de máquina, as pessoas ficariam um pouco céticas e não confiariam totalmente nele. Agora isso foi completamente destruído. As pessoas confiam em IA e ML. As pessoas querem saber o que você está fazendo. Eles querem ficar atrás da tela e entender quais tecnologias estão sendo usadas.”

Existem muito mais possibilidades pela frente. “Um dos desafios que enfrento como designer de ferramentas EDA é a interface humana”, diz Narain. “Calculamos muitas informações que precisam ser apresentadas ao usuário. A IA pode automatizar a parte do processo que ajusta automaticamente os parâmetros com base em padrões que nós, como humanos, não podemos ver – a capacidade de encontrar padrões no desconhecido. Isso pode ser um processo longo.”

EDA encontra respeito
Há muito tempo que a EDA não é vista como uma indústria dinâmica e digna de investimento. “Semicondutores e EDA estão na moda novamente”, diz Hand, da Siemens. “Essa é provavelmente uma das maiores surpresas para mim, depois de anos sendo menos central. Embora tenhamos mudado o mundo diariamente, não fomos vistos. No ano passado tivemos pessoal de semicondutores na Casa Branca, tivemos pessoal da EDA conversando com o presidente. De repente, nos tornamos visíveis.”

Isso se refletiu nas avaliações. “Foi muito bom ver os mercados públicos finalmente reconhecerem a contribuição da EDA”, diz Narain. “A EDA está subvalorizada há muito tempo. Devo dar crédito à gestão das grandes empresas que foram fundamentais para que isso acontecesse.”

É uma coisa boa demais? “Estou surpreso com os valores de mercado das empresas EDA”, afirma Drako, da IC Manage. “Eles são ridiculamente altos. É simplesmente inacreditável. Um tanto justificado ou atrasado, talvez. O negócio da EDA é o negócio que permite tudo na nossa vida e, no entanto, a indústria da EDA tem sido realmente um retrocesso que não rendeu muito dinheiro e não obteve muito respeito. Demorámos 50 anos, mas o respeito e o dinheiro que está a chegar ao mundo da EDA já deviam ser feitos há muito tempo.”

Avaliações elevadas tendem a tornar as aquisições mais prováveis ​​e temos certamente assistido a um aumento da atividade nessa área. Se a fusão recentemente anunciada da Synopsys e da Ansys for concluída, será de longe a maior transação corporativa vista em muito tempo.

Um horizonte nublado
Mas há pelo menos uma nuvem no horizonte. As mesmas forças que estão a tornar a AED mais visível estão também ligadas a uma postura geopolítica que poderá ter implicações a longo prazo. “Tenho uma lista de cerca de 15 iniciativas nacionais, em diferentes países, para financiamento em semicondutores e EDA”, diz Hand. “A Lei CHIPS foi apenas um exemplo. Em troca, isso também criou ventos contrários, porque um dos grandes mercados é a China. Como você lida com as restrições à exportação? Como você navega por eles para que ainda permita que eles façam o que estão autorizados a fazer, mas de uma forma que não violemos nenhuma restrição?

Há muitas fábricas sendo construídas. “A Lei CHIPS está acelerando os planos de construção de fundição de semicondutores, o que pode ter um impacto significativo nos ciclos de semicondutores”, diz Goldman da Ansys. “Demora um pouco para construir uma nova fundição. Você está vendo fundições no Arizona com a TSMC e a Intel, bem como em Ohio e no centro de Nova York, e em lugares onde nunca as vimos antes. Na EDA, temos visto muito esforço nos bastidores sobre para onde vai o dinheiro, mas o dinheiro ainda não foi liberado. Acho que veremos esse impacto no próximo ano.”

Toda essa capacidade extra de fábrica precisa ser preenchida de alguma forma, para que essas fábricas sejam economicamente viáveis. “Com o CHIPS Act, eles buscam a democratização do design de chips e o acesso a ferramentas, bibliotecas e IPs, para que mais equipes possam fazer projetos avançados de chips”, diz Marc Swinnen, diretor de marketing de produto da Ansys. “Eles também querem avanços em EDA, com pesquisas nas universidades, e precisam que os fornecedores de EDA formem um consórcio onde todos se acotovelem um pouco desconfortavelmente, um ao lado do outro, no mesmo balde de disponibilidade. Há muito pioneirismo que precisa ser feito. O que eles querem do lado do design e como chegaremos lá está sendo decidido agora.”

Para cada ação há uma reação igual e oposta. “O que vai acontecer é uma consequência não intencional”, diz Drako. “A maioria das ferramentas de EDA são fabricadas por empresas americanas. Essas ferramentas são tão avançadas, contendo tanto conhecimento, que ninguém tenta recriá-las. Mas com os desafios comerciais entre a China e os EUA, os chineses vão fazer um esforço muito significativo no desenvolvimento de ferramentas de AED. Estamos basicamente criando um concorrente, ou criando uma segunda fonte, ou criando outro player no espaço de ferramentas EDA.”

Como vimos na EDA tradicional, quando uma empresa avança suficientemente, é difícil, se não impossível, alcançá-la, desde que continue a investir. “Às vezes, quando você constrói do zero, você pode criar uma solução melhor porque pode usar tecnologias melhores quando está começando”, acrescenta Drako. “Mas outras vezes, quando há muita coisa acontecendo, é muito difícil começar do zero e construir uma solução melhor e mais rápida, porque há muito conhecimento e esforço nas lições aprendidas que foram parar em uma pilha de código. Demora muito para recuperar o atraso.

Conclusão
Foi um grande ano para a EDA, independentemente da forma como você o encara. Novas tecnologias e novas oportunidades garantiram mais alguns bons anos pela frente. Como a indústria responderá se surgir um novo concorrente? Esse capítulo ainda está para ser escrito.

Carimbo de hora:

Mais de Semi Engenharia