RealTime Digital DRC kan spare tid nær tapeout

Kilde node: 887159


Gjennom årene har DRC-verktøy gjort en beundringsverdig jobb med å holde tritt med den enorme veksten av IC-designstørrelse. Likevel, DRC løper for sign-off på hele designen ved å bruke støperidekker, som tar mange timer å fullføre. Disse lange driftstidene er akseptable for endelig avslutning, men det er mange situasjoner der DRC-resultater er nødvendige raskt når det gjøres små endringer i designet for å fikse problemer på sent stadium. Siemens EDA har i samarbeid med MaxLinear skrevet en whitepaper som viser hvordan Caliber RealTime Digital in-design DRC kan gi DRC-resultater raskt når det er gjort små endringer i designet. Raskere DRC-behandling for lokaliserte endringer kan fremskynde iterativ feilretting som ofte er nødvendig for å nå tapeout.

I hvitboken med tittelen "MaxLinear and Caliber RealTime Digital: Faster signoff DRC convergence plus design optimization for manufacturability" snakker MaxLinear og Siemens om omstendighetene der det å ha muligheten til å kjøre DRC på et lite område av et design med hele støperiregeldekket kan spar mange timer og smertefulle langsomme iterasjoner.

Moderne P&R-verktøy gjør en utmerket jobb med å produsere DRC-korrekt layout. Imidlertid er det alltid tilfeller der kildene til brudd er mer komplekse og blir savnet under P&R. Disse krever vanligvis en manuell reparasjon som tar hensyn til komplekse designregler. Under disse manuelle rettingene er det også sannsynlighet for at nye feil kan bli introdusert. Siemens presenterer informasjon fra MaxLinear i hvitboken som fremhever hvordan Caliber RealTime Digital koblet til deres P&R-verktøy lar dem raskt implementere og validere manuelle rettelser. Alternativet er å utføre en full DRC-kjøring bare for å se om endringer i et lite område er riktige.

Sanntid DRC
Digital DRC i sanntid

MaxLinear lager brikker med analoge og digitale blokker. De analoge blokkene krever færre metalllag, så for å redusere produksjonskostnadene søker de å redusere rutelag i hele designet. Dette skaper problemer med rutetetthet, som ofte er i konflikt med å opprettholde en høy DFM-rangering. Single-cut vias bruker minst plass, men bidrar til en lav DFM-rangering. Når push kommer til å skyve MaxLinear-designere kan manuelt bytte mellom typer for å håndtere rutebarhet kontra DFM-avveininger. Men disse må følges opp med en omfattende DRC for å se etter ting som brudd på flere mønstre. Caliber RealTime Digital lar designere bytte mellom typer og deretter raskt se om det finnes DRC-feil.

Vias er ikke det eneste problemet som kan føre til DRC-problemer som krever tid og krefter å løse. Hvitboken beskriver flere situasjoner der manuelt arbeid er nødvendig, og den eneste måten å endelig løse disse problemene på er å få en ren DRC-kjøring. En av disse situasjonene som skjer sent i prosessen er når re-tapeout (RTO) kontroller er nødvendig for å sikre at ECO-er er kompatible med eksisterende masker. RTO-reglene er av natur mer restriktive enn de opprinnelige DRC-reglene.

Hvitboken berører også elektromigrasjonsproblemer som kan være forårsaket av bruk av upassende viaer. Her var Caliber ReatTime Digital igjen medvirkende til MaxLinear i å implementere DRC korrekt via erstatning. Til sammen er det mange omstendigheter som krever DRC-kontroller etter at små endringer er gjort for å rette opp funksjons- eller produksjonsproblemer i et design. Å ha muligheten til å få umiddelbare resultater, i stedet for å vente på en dag eller lengre behandlingstid på full DRC-kjøring, kan barbere dager eller uker av et prosjekt. Det er ikke bra å finne overraskelser etter et stort DRC-løp. Siemens Caliber RealTime Digital-grensesnitt til P&R-verktøy ser ut til å passe perfekt for dette. Denne hvitboken fra Siemens finner du på Siemens EDAs nettsted.

Del dette innlegget via:


Kilde: https://semiwiki.com/eda/299770-realtime-digital-drc-can-save-time-close-to-tapeout/

Tidstempel:

Mer fra Semiwiki