RealTime Digital DRC può risparmiare tempo vicino al tapeout

Nodo di origine: 887159


Nel corso degli anni gli strumenti DRC hanno svolto un lavoro ammirevole nel tenere il passo con l'enorme crescita delle dimensioni dei progetti di circuiti integrati. Tuttavia, DRC corre per approvare il progetto completo utilizzando i mazzi di regole Foundry che richiedono molte ore per essere completati. Questi lunghi tempi di esecuzione sono accettabili per l'approvazione finale, ma ci sono molte situazioni in cui i risultati del DRC sono necessari rapidamente quando vengono apportate piccole modifiche al progetto per risolvere i problemi in fase avanzata. Siemens EDA in collaborazione con MaxLinear ha scritto un white paper che mostra come Calibre RealTime Digital in-design DRC può fornire rapidamente risultati DRC quando sono state apportate piccole modifiche al progetto. Una risposta più rapida del DRC per le modifiche localizzate può accelerare la correzione iterativa degli errori che è spesso necessaria per raggiungere il tapeout.

Nel white paper intitolato "MaxLinear e Calibre RealTime Digital: Faster signoff DRC convergence plus design optimization for producibilità" MaxLinear e Siemens parlano delle circostanze in cui avere la possibilità di eseguire DRC su una piccola regione di un progetto con l'intero mazzo di regole della fonderia può risparmia molte ore e dolorose iterazioni lente.

I moderni strumenti P&R svolgono un ottimo lavoro nel produrre il layout corretto della DRC. Tuttavia, ci sono sempre casi in cui le fonti delle violazioni sono più complesse e non vengono rilevate durante il P&R. Questi di solito richiedono una correzione manuale che tenga in considerazione regole di progettazione complesse. Durante queste correzioni manuali esiste anche la probabilità che vengano introdotti nuovi errori. Siemens presenta le informazioni di MaxLinear nel white paper che evidenzia come Calibre RealTime Digital interfacciato con il loro strumento P&R consente loro di implementare e convalidare rapidamente le correzioni manuali. L'alternativa è eseguire un'analisi completa del DRC solo per vedere se i cambiamenti in una piccola area sono corretti.

Repubblica Democratica del Congo in tempo reale
DRC digitale in tempo reale

MaxLinear produce chip con blocchi analogici e digitali. I blocchi analogici richiedono meno strati di metallo, quindi per ridurre i costi di produzione si cerca di ridurre gli strati di instradamento nell'intero progetto. Ciò crea problemi di densità di routing, che spesso sono in conflitto con il mantenimento di un elevato ranking DFM. I via a taglio singolo utilizzano lo spazio minimo ma contribuiscono a un basso posizionamento DFM. Quando arriva il momento critico, i progettisti MaxLinear possono passare manualmente da un tipo all'altro per gestire i compromessi tra instradabilità e DFM. Ma questi devono essere seguiti da un DRC completo per verificare cose come le violazioni di modelli multipli. Calibre RealTime Digital consente ai progettisti di scambiare i tipi e quindi vedere rapidamente se esistono errori DRC.

I Via non sono l’unico problema che può portare a problemi della RDC che richiedono tempo e sforzi per essere risolti. Il white paper descrive diverse situazioni in cui è richiesto il lavoro manuale e l'unico modo per risolvere definitivamente questi problemi è eseguire un funzionamento pulito del DRC. Una di queste situazioni che si verifica nelle fasi avanzate del processo è quando sono necessari controlli di re-tapeout (RTO) per garantire che le ECO siano compatibili con le maschere esistenti. Le norme RTO sono per natura più restrittive delle norme originali della RDC.

Il Libro bianco tocca anche i problemi dell'elettromigrazione che possono essere causati dall'uso di vie improprie. Anche in questo caso Calibre ReatTime Digital è stato determinante per MaxLinear nell'implementazione della correzione DRC tramite sostituzione. Nel complesso, ci sono molte circostanze che richiedono controlli DRC dopo che sono state apportate piccole modifiche per correggere problemi funzionali o di producibilità in un progetto. Avere la capacità di ottenere risultati immediati, invece di aspettare un giorno o più per la completa esecuzione del DRC, può far risparmiare giorni o settimane a un progetto. Non è bello trovare sorprese dopo una grande corsa nella RDC. L'interfaccia Siemens Calibre RealTime Digital per gli strumenti P&R sembra essere la soluzione ideale per questo. Questo white paper di Siemens è disponibile sul sito web di Siemens EDA.

Condividi questo post tramite:


Fonte: https://semiwiki.com/eda/299770-realtime-digital-drc-can-save-time-close-to-tapeout/

Timestamp:

Di più da Semiwiki