RISC-V et Chiplets : une table ronde - Semiwiki

RISC-V et Chiplets : une table ronde – Semiwiki

Nœud source: 3019558

panneau

Lors du récent sommet RISC-V, la toute dernière session était un panel sur les chipsets appelé Chiplets dans l'écosystème RISC-V. Elle était modérée par Calista Redmond, PDG de RISC-V International. Les panélistes étaient :

  • Laurent Moll, COO d'Arteris
  • Aniket Saha, vice-présidente de la gestion des produits de Tenstorrent
  • Dale Greenley, vice-président de l'ingénierie de Ventana Microsystems
  • Rob Aitken, architecte émérite de Synopsys

C'est une combinaison de sujets un peu étrange pour moi. Évidemment, vous pouvez mettre un processeur RISC-V sur un chipset mais les défis ne sont pas vraiment différents de ceux de n'importe quel autre processeur. Mais RISC-V est à la mode, tout comme les chipsets, et des sociétés comme Ventana les combinent.

Permettez-moi de vous donner un aperçu des entreprises pour les mettre en contexte :

  • Comme vous le savez probablement, Arteris fabrique des réseaux sur puce (NoC). Il s'agit d'une entreprise neutre parmi les fournisseurs de chipsets (et les fournisseurs IP).
  • Tenstorrent conçoit un portefeuille de puces RISC-V multicœurs de très hautes performances
  • Ventana possède une IP RISC-V mais il la fournit également sous forme de chipsets
  • Synopsys est évidemment une société EDA, mais ils ont annoncé les cœurs RISC-V plus tôt lors du sommet.

]chiplets risc-v

La discussion réelle

La première question de Calista était une balle molle demandant quelle était la valeur des chiplets.

Dale a déclaré qu'il n'y avait rien de spécifique à propos de RISC-V pour les chipsets, mais que le marché décide quand vous faites de grandes choses monolithiques ou des chiplets. Cela dépend de ce pour quoi un client vous paiera. "Nous fournissons à la fois l'IP et les chipsets, il y a de la place pour les deux."

Aniket a déclaré que "faire des chiplets n'est pas bon marché, mais faire des chiplets et RISC-V est flexible et vous pouvez créer rapidement de nouveaux produits".

Laurent a opté pour les coûts de production. Il est très important de garder le NRE sous contrôle, car peu de gens fabriquent 100 millions de pièces. Il y a donc davantage de fournisseurs impliqués et une chaîne d’approvisionnement compliquée. Un SoC est complexe mais les chipsets sont pires.

Rob a souligné l'hétérogénéité comme l'ajout de chipsets pour RF et analogiques, la présence d'un accélérateur en option, etc. Cela ouvre potentiellement de nouveaux marchés.

Calista a ensuite demandé où nous en sommes dans le secteur automobile.

Aniket a souligné que l'automobile est très conservatrice et qu'elle est désormais agressive à l'égard des plates-formes pouvant passer des voitures bas de gamme aux voitures haut de gamme. Avec les chiplets, personne n’a vraiment pensé à la sécurité fonctionnelle.

Rob s'est tourné vers l'aérospatiale (pas tout à fait l'automobile) et a expliqué qu'il existe généralement un volume physique fixe défini il y a des décennies. Il est difficile d'intégrer les choses.

Laurent Moll 2 couleurs

Laurent : Les constructeurs automobiles sont les acheteurs ultimes des catalogues et les chiplets leur permettent de tirer le meilleur parti de l'IA, du radar, de l'infodivertissement, etc.

Comment faire fonctionner le logiciel ?

Rob : si vous réduisez la taille du système, ce n'est pas un problème. Mais les achats sur catalogue automobile rendent les choses plus difficiles.

Aniket : À propos d'une déclaration « si vous l'ajoutez, nous ne l'utiliserons pas ». Les piles logicielles automobiles prendront en charge RISC-V dans 5 ans, ce qui est rapide. Il a fallu 15 ans à Arm pour y arriver.

Q : De quoi avons-nous besoin pour la connectivité ?

Laurent : C'est très complexe surtout avec les gens qui magasinent pour des chiplets. Les PHY de différents fournisseurs peuvent être interopérables. Tout le monde est passionné par l’UCIe. Les gens veulent des normes qui permettent de mieux adapter les chiplets.

Aniket s'est plaint de l'absence de flux de conception standard pour les chiplets. Un gros manque de normes.

Rob pense que nous pouvons proposer un flux standard, mais avec différents chiplets, nous ne voulons pas N flux de conception différents.

Q : Où voyez-vous les choses dans 3 à 5 ans ?

Rob : nous serons plus loin avec différents

« Les achats par catalogue dépendent peut-être des équipementiers automobiles. Cela demandera beaucoup d’efforts à l’industrie. Toute chose hétérogène prendra plus de temps.

Aniket a déclaré que les chipsets seront d'abord installés dans les centres de données, puis dans l'automobile. Mais la première vague sera constituée d’un seul fournisseur.

Résumé

chiplets risc-v

C'est une combinaison de ce que les participants ont dit et de mes propres opinions.

Je pense que pour le moment, les conceptions RISC-V basées sur des chipsets seront le fruit d'un effort d'une seule entreprise (sauf, peut-être, pour la mémoire à large bande passante (HBM). Il est trop complexe de créer des conceptions avec plusieurs chipsets provenant de différentes sociétés, interposeurs , et le réseau pour les connecter tous, généralement appelé RDL.

Les conceptions seront en 2.5D et non en véritable 3D (où les dés sont empilés les uns sur les autres et communiquent avec des vias traversants en silicium ou des TSV) dans un avenir prévisible.

L'automobile fait face à ses propres défis, notamment celui de garantir la fiabilité des conceptions basées sur des chiplets dans un environnement soumis à de fortes vibrations. Cela nécessitera des tests approfondis. Un autre problème consiste à garantir la sécurité fonctionnelle dans un environnement multi-matrices.

UCIe est prometteur et s'appuie en quelque sorte sur PCIe. Les entreprises PCIe ont assuré la fiabilité grâce à des plugfests. Je ne vois pas comment vous pouvez garantir économiquement l'interopérabilité UCIe des chipsets via un mécanisme similaire.

Enfin, outre les défis techniques, il existe des défis commerciaux si nous voulons atteindre le nirvana de pouvoir acheter des chipsets dans le commerce et les assembler dans des systèmes à un coût raisonnable. Le plus grand défi est de savoir qui paiera et conservera l’inventaire des chiplets. Si tous les chiplets doivent être fabriqués à la demande, de nombreux avantages d’un temps de cycle rapide seront perdus.

Mais les chipsets RISC-V arrivent certainement rapidement sous la forme de conceptions multi-puces sur des interposeurs 2.5D construits par une seule entreprise.

Lisez aussi:

Les NoC offrent aux architectes une flexibilité dans la conception RISC-V du système

L'association des cœurs RISC-V avec les NoC relie les protocoles SoC entre eux

Mise à jour #60DAC d'Arteris

Partagez cet article via:

Horodatage:

Plus de Semiwiki