RISC-V y chiplets: un panel de discusión - Semiwiki

RISC-V y chiplets: un panel de discusión - Semiwiki

Nodo de origen: 3019558

panel

En la reciente Cumbre RISC-V, la última sesión fue un panel sobre chiplets llamado Chiplets en el ecosistema RISC-V. Fue moderado por Calista Redmond, directora ejecutiva de RISC-V International. Los panelistas fueron:

  • Laurent Moll, director de operaciones de Arteris
  • Aniket Saha, vicepresidenta de gestión de productos de Tenstorrent
  • Dale Greenley, vicepresidente de ingeniería de Ventana Microsystems
  • Rob Aitken, arquitecto distinguido de Synopsys

Esta es una combinación de temas un poco extraña para mí. Obviamente, se puede colocar un procesador RISC-V en un chiplet, pero los desafíos no son realmente diferentes a los de cualquier otro procesador. Pero RISC-V está de moda, al igual que los chiplets, y empresas como Ventana los están combinando.

Déjame darte un poco de información sobre las empresas para ponerlas en contexto:

  • Como probablemente sepa, Arteris fabrica redes en chip (NoC). Es una empresa neutral entre los proveedores de chiplets (y proveedores de IP).
  • Tenstorrent está diseñando una cartera de chips RISC-V multinúcleo de muy alto rendimiento
  • Ventana tiene IP RISC-V pero también la entrega como chiplets
  • Synopsys es obviamente una empresa de EDA, pero anunciaron núcleos RISC-V anteriormente en la cumbre.

]chiplets risc-v

La discusión real

La primera pregunta de Calista fue una pregunta sobre cuál era el valor de los chiplets.

Dale dijo que no había nada específico sobre RISC-V para chiplets, pero el mercado decide cuándo se hacen grandes cosas monolíticas o chiplets. Depende de lo que el cliente le pague por hacer. "Ofrecemos tanto IP como chiplets, hay espacio para ambos".

Aniket dijo que "hacer chiplets no es barato, pero hacer chiplets y RISC-V es flexible y se pueden crear nuevos productos rápidamente".

Laurent optó por los costes de producción. Es muy importante mantener NRE bajo control, ya que no hay mucha gente que esté construyendo 100 millones de piezas. Por lo tanto, hay más proveedores involucrados y una cadena de suministro complicada. Un SoC es complejo pero los chiplets son peores.

Rob señaló heterogeneidad, como agregar chiplets para RF y analógico, tener un acelerador opcional, etc. Esto potencialmente abre nuevos mercados.

Calista continuó preguntando dónde nos encontramos en el sector automotriz.

Aniket señaló que la industria automotriz es muy conservadora y ahora son agresivas con respecto a las plataformas que pueden escalar desde automóviles de gama baja hasta automóviles de gama alta. Con los chiplets, nadie ha considerado realmente la seguridad funcional.

Rob pasó al sector aeroespacial (no del todo automotriz) y analizó cómo suele haber un volumen físico fijo definido hace décadas. Es difícil encajar las cosas.

Laurent Moll 2 colores

Laurent: Las empresas automotrices son los mejores compradores de catálogos y los chiplets les permiten aprovechar lo mejor en inteligencia artificial, radar, información y entretenimiento, etc.

¿Cómo se ejecuta el software?

Rob: si haces el sistema pequeño, está bien. Pero la compra por catálogo de automóviles lo hace más difícil.

Aniket: Relacionó una afirmación “si lo agregas no lo usaremos”. Las pilas de software automotriz admitirán RISC-V en 5 años, lo cual es rápido. A Arm le tomó 15 años llegar allí.

P: ¿Qué necesitamos para la conectividad?

Laurent: Es muy complejo, especialmente cuando la gente compra chipsets. Los PHY de diferentes proveedores pueden ser interoperables. Todo el mundo está interesado en la UCIe. La gente quiere estándares que hagan que los chiplets se ajusten mejor.

Aniket se quejó de que no existen flujos de diseño estándar para chiplet. Una gran falta de estándares.

Rob cree que podemos crear un flujo estándar, pero con diferentes chiplets no queremos N flujos de diseño diferentes.

P: ¿Cómo ves las cosas dentro de 3 a 5 años?

Rob: estaremos más lejos con diferentes

“La compra por catálogo tal vez dependa de los fabricantes de equipos originales (OEM) de automóviles. Requerirá mucho esfuerzo de la industria. Cualquier material heterogéneo llevará más tiempo.

Aniket dijo que los chiplets estarán primero en el centro de datos y luego en el automóvil. Pero la primera ola será de un solo proveedor.

Resumen

chiplets risc-v

Esta es una combinación de cosas que dijeron los participantes y mis propias opiniones.

Creo que por el momento, los diseños RISC-V basados ​​en chiplets serán un esfuerzo de una sola empresa (excepto, quizás, para la memoria de alto ancho de banda (HBM). Es demasiado complejo construir diseños con múltiples chiplets de diferentes empresas, intercaladores. , y la red para conectarlos a todos, habitualmente conocida como RDL.

Los diseños serán 2.5D, no 3D verdadero (donde los troqueles se apilan uno encima del otro y se comunican con vías de silicio o TSV) en el futuro previsible.

La automoción tiene su propio conjunto de desafíos, en particular garantizar que los diseños basados ​​en chiplets sean confiables en un entorno con mucha vibración. Esto requerirá pruebas exhaustivas. Otra cuestión es garantizar la seguridad funcional en un entorno con múltiples troqueles.

UCIe es prometedor y en cierta medida se basa en PCIe. Las empresas de PCIe garantizaron la confiabilidad mediante plugfests. No veo cómo se puede garantizar económicamente la interoperabilidad UCIe en chiplets mediante un mecanismo similar.

Finalmente, además de los desafíos técnicos, existen desafíos comerciales si queremos llegar al nirvana de poder comprar chips disponibles en el mercado y ensamblarlos en sistemas a un costo razonable. El mayor desafío es quién pagará y mantendrá el inventario de chiplets. Si todos los chiplets tienen que fabricarse bajo demanda, se perderán muchas de las ventajas de un tiempo de ciclo rápido.

Pero los chiplets RISC-V ciertamente están llegando rápidamente en forma de diseños de múltiples matrices en intercaladores 2.5D construidos por una sola empresa.

Lea también

Los NoC brindan a los arquitectos flexibilidad en el diseño del sistema RISC-V

Emparejar núcleos RISC-V con NoC une los protocolos SoC

Actualización #60DAC de Arteris

Comparte esta publicación a través de:

Sello de tiempo:

Mas de Semiwiki