FPGA ambientalmente sostenibles (Notre Dame, Univ. de Pittsburgh)

FPGA ambientalmente sostenibles (Notre Dame, Univ. de Pittsburgh)

Nodo de origen: 3031754

La Universidad de Notre Dame y la Universidad de Pittsburgh publicaron un nuevo artículo técnico titulado “REFRESH FPGAs: Sustainable FPGA Chiplet Architectures”.

Resumen
“Existe una demanda creciente de mayores cantidades de potencia computacional cada vez más ágil para que la infraestructura de borde y de nube satisfaga las necesidades computacionalmente complejas de los dispositivos informáticos ubicuos. Por lo tanto, un desafío importante es abordar los impactos ambientales holísticos de estos sistemas informáticos de próxima generación. Para lograr esto, es necesaria una visión del ciclo de vida de la sostenibilidad de los avances informáticos para reducir los impactos ambientales, como las emisiones de gases de efecto invernadero, de estas opciones informáticas. Desafortunadamente, los esfuerzos de décadas para abordar la eficiencia energética operativa en los dispositivos informáticos han ignorado y, en algunos casos, exacerbado los impactos incorporados de la fabricación de estos sistemas de borde y nube, particularmente sus circuitos integrados. Durante este tiempo, las arquitecturas FPGA no han cambiado dramáticamente excepto para aumentar de tamaño. Dado este contexto, proponemos REFRESH FPGA para construir nuevos dispositivos y arquitecturas FPGA a partir de matrices FPGA recientemente retiradas utilizando integración 2.5D. Para construir FPGA REFRESH se requieren arquitecturas creativas que aprovechen los pines de chiplet existentes con un intercalador económico de fabricación junto con una automatización de diseño creativo. En este documento, analizamos cómo los REFRESH FPGA pueden aprovechar las tendencias de la industria para la integración de energía renovable en los centros de datos, al mismo tiempo que brindan una mejora general para la sostenibilidad y amortizan su importante inversión en costos incorporados durante una “primera” vida útil mucho más larga.

Encuentra la técnica papel aqui. Publicado noviembre de 2023.

Zhou, Peipei, Jinming Zhuang, Stephen Cahoon, Yue Tang, Zhuoping Yang, Xingzhen Chen, Yiyu Shi, Jingtong Hu y Alex K. Jones. "ACTUALIZAR FPGA: arquitecturas de chiplets FPGA sostenibles". Preimpresión de arXiv arXiv:2312.02991 (2023).

Relacionado:
Propietario vs. Chiplets comerciales
Quién gana, quién pierde y dónde están los grandes desafíos para la integración heterogénea de múltiples proveedores.

Sello de tiempo:

Mas de Semi Ingeniería