EDA vuelve a estar en el radar de los inversores

EDA vuelve a estar en el radar de los inversores

Nodo de origen: 3086897

EDA se está transformando de un sector serio pero estratégico a un mercado de inversión candente, impulsado por fuertes ganancias y crecimiento, un clamor por diseños de vanguardia y cada vez más personalizados en mercados nuevos y existentes, y el despliegue de tecnologías avanzadas como la IA para una gama de productos. de herramientas que serán necesarias para desarrollar nuevas arquitecturas con un rendimiento por vatio mucho mayor.

Una confluencia de acontecimientos ha resultado en uno de los mejores años de la historia de la gran EDA jugadores, no sólo por lo bien que les ha ido el año pasado en términos de ventas, beneficios o precio de las acciones, sino también por cómo les podría ir en el futuro. Como informó recientemente la Alianza de Diseño de Sistemas Electrónicos (ESD) de SEMI, los ingresos de la industria aumentaron un 25.2 % a 4,702.4 millones de dólares en el tercer trimestre de 2023, frente a los 3,756.3 millones de dólares registrados en el tercer trimestre de 2022. El promedio móvil de cuatro trimestres, que compara los cuatro trimestres más recientes respecto a los cuatro anteriores, aumentó un 13.8%. Casi todos los segmentos y regiones experimentaron un crecimiento significativo y se ha producido una rápida recuperación tras la debilidad de principios de año.

Incluso esa suavidad fue contenida para los grandes actores de la EDA. La crisis la sintieron con más fuerza las pequeñas empresas. “A principios de 2023, tuvimos la corrección económica”, dice Prakash Narain, presidente y director ejecutivo de Real Intent. “Las cosas se desaceleraron, pero afectaron más a las nuevas empresas que a algunas de las empresas más grandes. Luego se recuperó. Ahora vemos mucha más actividad en las empresas de sistemas. En realidad, esto es muy interesante porque necesitan silicio personalizado. Eso significa que se realizan más trabajos de diseño, y eso es simplemente una gran noticia para la industria EDA. Fue un buen año en general en el sentido de que la caída se vio compensada por el repunte de la última parte del año”.

Esta transformación está impulsada por varios factores. El crecimiento masivo de ChatGPT, que comenzó el año pasado, requiere un aumento significativo en la potencia informática total disponible, así como motores diversificados para satisfacer esa necesidad. Las CPU están siendo reemplazadas por computación heterogénea y vemos que la nube se está convirtiendo en una combinación de CPU, GPU, procesadores de inteligencia artificial, aceleradores personalizados, FPGA y más. Muchos de estos nuevos procesadores están siendo desarrollados por empresas emergentes o por hiperescaladores, que son esenciales para mejorar la eficiencia en diferentes clases de cálculos.

Muchos de estos procesadores personalizados están superando el límite de la retícula, lo que obliga a los fabricantes de chips a descomponer diferentes características utilizando algún tipo de empaquetamiento avanzado, como 2.5D, 3D-IC y densos despliegues con pilares. Esto requiere herramientas EDA más avanzadas, incluidas algunas herramientas nuevas, así como la utilización de tecnologías de otros dominios. Algunos incluso abordan problemas que nunca antes se habían visto en el diseño de chips. Multifísica es un término que ahora está apareciendo en todas partes.

Un tercer impulsor implica acelerar las sinergias entre EDA y el espacio de sistemas. Siemens fue el primero en aprovechar esta oportunidad y otros lo siguieron. "Synopsys quiere empezar a pensar en las cosas a nivel de sistema y quiere incorporar otras disciplinas a la contienda", dice Chris Mueth, director de gestión de nuevos mercados de Keysight. “La complejidad está creciendo exponencialmente, pero la fuerza laboral no. Eso deja un vacío. Entonces, ¿cómo se puede lidiar con algo cuya complejidad está creciendo exponencialmente cuando no se puede ampliar la fuerza laboral? Tienes que automatizar los flujos de trabajo. No tienes elección. Tienes que derribar los muros y conectar todo y trabajar en conjunto. Y tampoco será un solo proveedor. No hay manera de que un solo proveedor pueda encargarse de todas estas cosas diferentes, por diversas razones. Es un juego de interoperabilidad e involucra a todo el ecosistema”.

EDA y RISC-V
Otra transformación es la rápida adopción y aceptación de RISC-V. A medida que el ecosistema crece y madura, un número cada vez mayor de empresas se lanzan a desarrollar sus propios procesadores personalizados y están desesperadas por encontrar herramientas. Este tipo de herramientas existían en el pasado, pero disminuyeron cuando Arm se convirtió en el proveedor dominante en el mercado de CPU IP. Si bien continuaron existiendo herramientas de desarrollo de procesadores extensibles dentro de Cadence (Tensilica) y Synopsys (ARC), su alcance era bastante limitado. Esto ahora se está extendiendo.

“El intercambio RISC-V ha crecido más del 40 % desde principios de 2023 y esperamos ver muchos productos RISC-V nuevos e innovadores llegar al mercado en 2024”, dice Calista Redmond, directora ejecutiva de RISC-V International. “Me ha impresionado mucho el importante progreso técnico de la comunidad, al ratificar 16 especificaciones y muchas más en camino. También vimos anuncios importantes de RISC-V en una variedad de segmentos. Por ejemplo, Meta compartió que la empresa está utilizando RISC-V para transcodificadores de vídeo, aceleradores de inferencia y chips de entrenamiento. Además, Qualcomm destacó que ha enviado mil millones de dispositivos con microcontroladores RISC-V y está lanzando al mercado una solución de dispositivos portátiles basada en RISC-V para Wear OS de Google”.

Aún persisten algunos problemas con RISC-V, pero incluso estos son buenos para EDA. "Se están empezando a comprender algunos aspectos de la verificación", afirma Frank Schirrmeister, vicepresidente de soluciones y desarrollo empresarial de arterisa. “La libertad para innovar conlleva una gran responsabilidad de verificar, y el ecosistema RISC-V requerirá una mayor maduración para respaldar el crecimiento. Además de la verificación, los usuarios señalaron en la Cumbre RISC-V que aspectos como las IOMMU, la depuración y el rastreo, los controladores de interrupciones, la administración de energía y la coherencia en todo el SoC necesitan trabajo del ecosistema para respaldar el crecimiento continuo de RISC-V”.

A finales de 2023, Synopsys adquirió silenciosamente Imperas, el proveedor líder de modelos de referencia RISC-V y un conjunto cada vez mayor de herramientas de verificación dirigidas a RISC-V. Además, Synopsys anunció ARC-V, una empresa que aprovecha sus años de experiencia con el procesador extensible ARC y lo aplica a la arquitectura RISC-V.

Si bien el hardware de código abierto está ganando terreno, el EDA de código abierto no. "Una buena EDA requiere mucho dinero", dice Ashish Darbari, fundador y director ejecutivo de axiomiar. “Quienes adoptan EDA son empresas que utilizan esas herramientas porque se preocupan por la calidad y el tiempo de comercialización. Quieren hacerlo bien a la primera. Esas empresas, especialmente las antiguas casas de silicio del mundo, no se están abriendo a nuevas formas de EDA de código abierto y ligeramente más baratas. Desafortunadamente, EDA de código abierto aún no ha sido suficiente para el espacio RISC-V. La principal razón de esto es que los proveedores de silicio RISC-V y todas las empresas que fabrican silicio no están invirtiendo en EDA de código abierto”.

IA dentro de EDA
EDA tiene una nueva flecha en su carcaj: la IA. Las empresas de EDA han estado adoptando la IA de forma selectiva durante la última década, pero su utilidad se está ampliando.

El tipo de IA utilizado en EDA puede no ser tan atractivo como la IA generativa, como lo demuestran herramientas como ChatGPT. Sin embargo, se ha demostrado que el aprendizaje por refuerzo es bueno para reemplazar algunas de las tareas más repetitivas que realizan actualmente los humanos, especialmente cuando se trata de relaciones complejas entre muchos factores.

Es menos probable que la IA reemplace a los diseñadores. "La IA se entrena", afirma Dean Drako, director ejecutivo de IC Manage. “Si entrenas a tu IA, aprenderá de lo que ya se ha hecho. Si entreno IA con 1,000 diseños de sumador, sabrá qué es un sumador. Luego puedo pedirle que diseñe un sumador con un conjunto definido de características. Pero no voy a lograr que a la IA se le ocurra la idea de los chiplets, porque nunca antes se había hecho. La parte más importante de lo que hacemos en el mundo EDA y en el mundo del diseño es idear cosas nuevas. Cada vez que fabricamos un chip nuevo, estamos inventando algo que es mejor que el anterior. No estamos haciendo lo mismo. La IA será muy buena para realizar tareas repetitivas”.

La ingeniería es una combinación de creatividad y metódica. "La parte creativa es relativamente pequeña", dice Narain de Real Intent. “Luego está la parte metódica, donde ingresas el código, creas esa funcionalidad y la pruebas. Eso requiere mucho tiempo de ingeniería. Existe un movimiento hacia el aumento de la productividad de los ingenieros. Al automatizar más lo metódico, les estás dando más tiempo para considerar otras dimensiones”.

También puede proporcionar algunas ganancias reales. "Los Cuatro Grandes presentaron mejoras en la IA durante el año pasado", dice Rich Goldman, director de Ansys. “Empresas como Synopsys, que han estado haciendo síntesis lógica durante 40 años, de repente ofrecen mejores resultados gracias a la IA. Es simplemente sorprendente que algo así pueda cambiar tanto”.

Gran parte de esos beneficios provienen de la utilización del aprendizaje por refuerzo. "Pero ahora la IA generativa está desbloqueando un nivel completamente nuevo de capacidades", afirma Neil Hand, director de marketing del segmento IC de EDA de Siemens. “Esa trayectoria ha sido impresionante, no sólo por lo que ha desbloqueado en términos técnicos, sino también por lo que ha desbloqueado en términos de percepción para los usuarios. Si retrocedemos un par de años, a la gente realmente no le importaba cómo se hacía algo, siempre y cuando se hiciera. Si se hablaba de aprendizaje automático, la gente se mostraba un poco escéptica y no confiaba plenamente en él. Ahora eso ha quedado completamente impresionado. La gente confía en la IA y el ML. La gente quiere saber qué estás haciendo. Quieren estar detrás de la pantalla y entender qué tecnologías se utilizan”.

Hay muchas más posibilidades por delante. "Uno de los desafíos que enfrento como diseñador de herramientas EDA es la interfaz humana", dice Narain. “Calculamos mucha información que debe presentarse al usuario. La IA puede automatizar la parte del proceso que ajusta automáticamente los parámetros en función de patrones que nosotros, como humanos, no podemos ver: la capacidad de encontrar patrones en lo desconocido. Puede ser un proceso largo”.

EDA encuentra respeto
La EDA no ha sido vista como una industria dinámica digna de inversión durante mucho tiempo. "Los semiconductores y EDA vuelven a estar de moda", afirma Hand de Siemens. “Esa es probablemente una de las mayores sorpresas para mí, después de años de estar menos al frente y al centro. Aunque hemos estado cambiando el mundo a diario, no hemos sido vistos. El año pasado tuvimos gente de semiconductores en la Casa Blanca, tuvimos gente de EDA hablando con el presidente. De repente nos hemos hecho visibles”.

Eso se ha reflejado en las valoraciones. "Fue muy agradable ver que los mercados públicos finalmente reconocían la contribución que hace EDA", dice Narain. “La EDA lleva mucho tiempo infravalorada. Tengo que darle crédito a la dirección de las empresas más grandes que han contribuido decisivamente a que esto suceda”.

¿Es demasiado bueno? "Me sorprenden las capitalizaciones de mercado de las empresas de EDA", afirma Drako de IC Manage. “Son ridículamente altos. Es simplemente increíble. Algo justificado o retrasado, tal vez. El negocio de EDA es el negocio que permite todo en nuestra vida y, sin embargo, la industria de EDA ha sido realmente un remanso que no generó mucho dinero y no obtuvo mucho respeto. Nos ha llevado 50 años, pero el respeto y el dinero que llega al mundo de la EDA hace tiempo que se necesitaban”.

Las valoraciones elevadas tienden a hacer más probables las adquisiciones y ciertamente hemos visto un aumento de la actividad en esa área. Si se cierra la fusión recientemente anunciada de Synopsys y Ansys, será, con diferencia, la mayor transacción corporativa vista en mucho tiempo.

Un horizonte nublado
Pero hay al menos una nube en el horizonte. Las mismas fuerzas que están haciendo que la EDA sea más visible también están ligadas a posturas geopolíticas que podrían tener implicaciones a largo plazo. "Tengo una lista de unas 15 iniciativas nacionales, en diferentes países, para financiar semiconductores y EDA", dice Hand. “La Ley CHIPS fue sólo un ejemplo. A cambio, esto también ha creado obstáculos, porque uno de los grandes mercados es China. ¿Cómo aborda las restricciones a las exportaciones? ¿Cómo se navega por ellos para seguir permitiéndoles hacer lo que se les permite hacer, pero haciéndolo de tal manera que no violemos ninguna restricción?

Se están construyendo muchas fábricas. "La Ley CHIPS está acelerando los planes de construcción de fundiciones de semiconductores, lo que puede tener un impacto significativo en los ciclos de los semiconductores", dice Goldman de Ansys. “Se necesita algún tiempo para construir una nueva fundición. Estás viendo fundiciones en Arizona con TSMC e Intel, así como en Ohio y el centro de Nueva York, y lugares donde no las hemos visto antes. En EDA, hemos visto muchos esfuerzos entre bastidores sobre dónde va el dinero, pero el dinero aún no se ha liberado. Creo que veremos ese impacto durante el próximo año”.

Toda esa capacidad adicional de las fábricas debe llenarse de alguna manera, si queremos que esas fábricas sean económicamente viables. "Con la Ley CHIPS, buscan la democratización del diseño de chips y el acceso a herramientas, bibliotecas e IP, para que más equipos puedan realizar diseños avanzados de chips", dice Marc Swinnen, director de marketing de productos de Ansys. “También quieren tener avances en EDA, con investigación en las universidades, y necesitan que los proveedores de EDA formen un consorcio en el que todos se empujen un poco incómodos uno al lado del otro en el mismo grupo de disponibilidad. Hay mucho por hacer. Lo que quieren desde el punto de vista del diseño y cómo llegar allí se está resolviendo ahora mismo”.

Por cada acción hay una reacción igual y opuesta. "Lo que va a pasar es una consecuencia no deseada", dice Drako. “La mayoría de las herramientas EDA son fabricadas por empresas estadounidenses. Esas herramientas son tan avanzadas y contienen tanto conocimiento que nadie intenta recrearlas. Pero con los desafíos comerciales entre China y Estados Unidos, los chinos van a hacer un esfuerzo muy significativo para desarrollar herramientas EDA. Básicamente, estamos creando un competidor, o creando una segunda fuente, o creando otro jugador en el espacio de herramientas EDA”.

Como hemos visto en la EDA tradicional, cuando una empresa avanza lo suficiente, es difícil, si no imposible, alcanzarla, siempre y cuando siga invirtiendo. "A veces, cuando construyes desde cero, puedes crear una mejor solución porque puedes utilizar mejores tecnologías al empezar", añade Drako. “Pero otras veces, cuando están sucediendo muchas cosas, es muy difícil comenzar desde cero y crear una solución mejor y más rápida, porque hay mucho conocimiento y esfuerzo en las lecciones aprendidas que se han concentrado en una pila de código. Se necesita mucho tiempo para ponerse al día”.

Conclusión
Fue un gran año para EDA, se mire por donde se mire. Las nuevas tecnologías y las nuevas oportunidades han garantizado que nos aguarden algunos años más. ¿Cómo responderá la industria si surge un nuevo competidor? Ese capítulo aún está por escribirse.

Sello de tiempo:

Mas de Semi Ingeniería