Highlights des TSMC Technology Symposium 2021 – Siliziumtechnologie

Quellknoten: 1856568

Kürzlich veranstaltete TSMC sein jährliches Technologiesymposium, das ein Update zur Siliziumprozesstechnologie und der Roadmap für Verpackungen lieferte. In diesem Artikel werden die Höhepunkte der Siliziumprozessentwicklungen und zukünftige Release-Pläne besprochen.

Nachfolgende Artikel werden die Verpackungsangebote beschreiben und sich mit der Technologieentwicklung und -qualifizierung speziell für den Automobilsektor befassen. Vor einigen Jahren definierte TSMC vier „Plattformen“, die einzigartige Forschungs- und Entwicklungsinvestitionen erhalten würden, um bestimmte technische Angebote zu optimieren: Hochleistungsrechnen (HPC); Handy, Mobiltelefon; Edge-/IoT-Computing (extrem geringer Stromverbrauch/Leckverlust); und Automobil. Der Schwerpunkt auf der Prozessentwicklung für den Automobilmarkt war ein vorherrschendes Thema des Symposiums und wird in einem separaten Artikel behandelt.

Abgesehen davon bleiben diese Plattformen die Grundlage der Roadmap von TSMC. Dennoch hat sich das Mobilfunksegment über (4G-)Smartphones hinaus entwickelt und umfasst eine breitere Palette von Anwendungen. Das Aufkommen der „digitalen Datentransformation“ hat zu einer erhöhten Nachfrage nach drahtlosen Kommunikationsoptionen zwischen Edge-Geräten und Cloud-/Rechenzentrumsressourcen geführt – z. B. WiFi6/6E, 5G/6G-Netzwerke (industriell und großstädtisch). Aus diesem Grund betont TSMC seine Investitionen in die Entwicklung der HF-Prozesstechnologie, um dieses expandierende Segment anzugehen.

Allgemeines

Hier sind einige allgemeine Highlights des Symposiums, gefolgt von spezifischen Ankündigungen zur Prozesstechnologie.

  • Breite des Angebots

Im Jahr 2020 erweiterte TSMC seinen Support auf 281 verschiedene Prozesstechnologien und lieferte 11,617 Produkte an 510 Kunden. Wie in den Vorjahren erklärte TSMC stolz: „Wir haben noch nie eine Fabrik geschlossen.“

Die aktuelle Kapazität im Jahr 2020 übersteigt 12 Mio. Wafer (12-Zoll-Äquivalent), mit Erweiterungsinvestitionen sowohl für fortgeschrittene (digitale) als auch für Spezialprozessknoten.

  • Investitionen in Investitionsgüter

TSMC plant, in den nächsten drei Jahren insgesamt 100 Milliarden US-Dollar zu investieren, einschließlich einer Kapitalausgabe von 30 Milliarden US-Dollar in diesem Jahr, um die globalen Kundenbedürfnisse zu erfüllen.

Kappe Ausrüstungsplan Silizium Tsmc

Der weltweite Umsatz von TSMC belief sich im Jahr 2020 auf 47.78 Milliarden US-Dollar – die jährliche Zusage von 30 Milliarden US-Dollar für den Ausbau der Fabrik lässt sicherlich auf die Erwartung eines erheblichen und erweiterten Halbleitermarktwachstums schließen, insbesondere für die 7-nm- und 5-nm-Prozessfamilien. Beispielsweise werden die Neu-Tapeouts (NTOs) für die 7-nm-Familie im Jahr 60 um 2021 % steigen.

TSMC hat mit dem Bau einer US-Fabrik in Phoenix, Arizona, begonnen – die Massenproduktion des N5-Prozesses wird im Jahr 2024 beginnen (~20 Wafer pro Monat).

  • Umweltinitiativen

Fabs sind anspruchsvolle Verbraucher von Strom, Wasser und (reaktiven) Chemikalien. TSMC konzentriert sich auf den Übergang zu 100 % erneuerbaren Energiequellen bis 2050 (25 % bis 2030). Darüber hinaus investiert TSMC in „Zero Waste“-Recycling- und Reinigungssysteme, um gebrauchte Chemikalien wieder in „elektronische Qualität“ zu bringen.

Eine Warnung: Unsere Branche ist bekanntermaßen zyklisch, mit verstärkten wirtschaftlichen Auf- und Abschwüngen. Die klare Botschaft von TSMC auf dem Symposium lautet, dass die beschleunigte Einführung von Halbleitern auf allen Plattformen – von datenintensiven Rechenzentren über drahtlose/mobile Kommunikation bis hin zu Automobilsystemen und Geräten mit geringem Stromverbrauch – auf absehbare Zeit anhalten wird.

Roadmap für Prozesstechnologie

  • N7/N7+/N6/N5/N4/N3

Die folgende Abbildung fasst die Roadmap für fortschrittliche Technologien zusammen.

Logiktechnologie-Roadmap TSMC

N7+ stellt die Einführung der EUV-Lithographie in den grundlegenden N7-Prozess dar. N5 wird seit 2020 in Serie produziert.

N3 bleibt ein FinFET-basiertes Technologieangebot, dessen Massenproduktion im 2. Halbjahr 2022 beginnt. Im Vergleich zu N5 bietet N3:

  • +10-15 % Leistung (Iso-Power)
  • -25-30 % Leistung (ISO-Leistung)
  • +70 % Logikdichte
  • +20 % SRAM-Dichte
  • +10 % analoge Dichte

TSMC Foundation IP bietet üblicherweise zwei Standardzellenbibliotheken (mit unterschiedlichen Spurhöhen) an, um der einzigartigen Leistung und Logikdichte der HPC- und Mobilsegmente gerecht zu werden. Für N3 hat die Notwendigkeit einer „vollständigen Abdeckung“ des Leistungs-/Leistungsbereichs (und des Versorgungsspannungsbereichs) zur Einführung einer dritten Standardzellenbibliothek geführt, wie unten dargestellt.

N3-Standardzellenbibliotheken

Die Designaktivierung für N3 schreitet im nächsten Quartal in Richtung PDK-Status v1.0 voran, wobei bis zum 2./3. Quartal 2022 ein breites Spektrum an geistigem Eigentum qualifiziert sein wird.

N4 ist ein einzigartiger „Push“ zum bestehenden N5-Produktionsprozess. Eine optische Schrumpffolie ist direkt verfügbar, kompatibel mit bestehenden N5-Designs. Darüber hinaus stehen für neue Designs (oder bestehende Designs, die an einer physischen Neuimplementierung interessiert sind) einige Verbesserungen der aktuellen N5-Designregeln und ein Update der Standardzellenbibliotheken zur Verfügung.

Ebenso ist N6 ein Update der 7-nm-Familie mit zunehmender Akzeptanz der EUV-Lithographie (über N7+). TSMC gab an: „N7 bleibt ein Schlüsselangebot für die wachsende Zahl von 5G-Mobilfunk- und KI-Beschleunigerdesigns im Jahr 2021.“

  • N7HPC und N5HPC

Ein Hinweis auf die anspruchsvollen Leistungsanforderungen der HPC-Plattform ist das Interesse des Kunden an der Anwendung einer „Overdrive“-Versorgungsspannung oberhalb der nominalen Prozess-VDD-Grenze. TSMC wird einzigartige Prozessvarianten „N7HPC“ (4Q21) und „N5HPC“ (2Q22) anbieten, die Overdrive unterstützen, wie unten dargestellt.

N7HPC

Für diese HPC-Technologien wird es ein entsprechendes SRAM IP Design Release geben. Wie erwartet müssen sich Entwickler, die an dieser Leistungsoption (Verbesserung im einstelligen Prozentbereich) interessiert sind, mit erhöhter statischer Leckage, BEOL-Zuverlässigkeitsbeschleunigungsfaktoren und Fehlermechanismen bei Gerätealterung befassen. Bemerkenswert ist die Investition von TSMC in die Entwicklung und Qualifizierung von speziell für einzelne Plattformen optimierten Prozessen. (Die letzte HPC-spezifische Prozessvariante befand sich am 28-nm-Knoten.)

  • RF-Technologie

Die Marktnachfrage nach drahtloser WiFi6/6E- und 5G-Kommunikation (unter 6 GHz und mmWave) hat TSMC dazu veranlasst, sich verstärkt auf Prozessoptimierungen für HF-Geräte zu konzentrieren. Ein weiterer wichtiger Anwendungsbereich sind HF-Schalter. Auch drahtlose Kommunikationsprotokolle mit geringem Stromverbrauch wie Bluetooth (mit erheblicher digitaler Integrationsfunktionalität) stehen im Fokus. Die Nachfrage nach Radarbildsystemen für Kraftfahrzeuge wird zweifellos steigen. Die mmWave-Anwendungen sind in der folgenden Abbildung zusammengefasst.

mmWellen

Die beiden Schlüsselparameter, die typischerweise zur Beschreibung der Leistung der HF-Technologie verwendet werden, sind:

  • Gerät Ft („Grenzfrequenz“), wobei die Stromverstärkung = 1 ist, umgekehrt proportional zur Gerätekanallänge L
  • Gerät Fmax („maximale Oszillationsfrequenz“), wobei Leistungsverstärkung = 1, proportional zur Quadratwurzel von Ft, umgekehrt proportional zur Quadratwurzel von Cgd und Rg

Ft Fmax-Berechnung

Die TSMC-RF-Technologie-Roadmap ist unten dargestellt, unterteilt in verschiedene Anwendungssegmente.

RF-Roadmap

Der N6RF-Prozess wurde auf dem Symposium hervorgehoben – ein Vergleich der Geräteleistung mit N16FFC-RF ist unten dargestellt.

N6RF-Vergleich tsmc Silizium

Auch die Prozesse N28HPC+RF und N16FFC-RC erhielten kürzlich Verbesserungen – beispielsweise wurden Verbesserungen beim parasitären Gate-Widerstand Rg hervorgehoben. Für rauscharme Verstärkeranwendungen (LNA) entwickelt TSMC seine SOI-Angebote bei 130 nm und 40 nm weiter.

  • ULP/ULL-Technologien

Es wird prognostiziert, dass IoT- und Edge-Device-Anwendungen immer weiter verbreitet werden und einen höheren Rechendurchsatz bei sehr geringer Verlustleistung (ULP) in Kombination mit statischer Verlustleistung mit extrem geringer Leckage (ULL) für eine längere Batterielebensdauer erfordern.

TSMC hat ULP-Prozessvarianten bereitgestellt – also Betriebsfunktionen für IP bei sehr niedriger VDD-Versorgungsspannung. TSMC hat auch ULL-Lösungen ermöglicht, wobei Geräte/IP optimierte Schwellenspannungen nutzen.

Nachfolgend finden Sie einen Überblick über die IoT (ULP/ULL)-Plattform und die Prozess-Roadmap.

ULL ULP Roadmap TSMC Silizium

Der N12e-Prozessknoten wurde von TSMC hervorgehoben und integriert eine eingebettete nichtflüchtige Speichertechnologie (MRAM oder RRAM) mit Standardzellenfunktionalität bis zu 0.55 V (unter Verwendung von SVT-Geräten; Zellen mit niedrigem Vt würden niedrigere VDD und aktive Leistung bei höherem Leckstrom ermöglichen). . Ein vergleichbarer Schwerpunkt wurde auch auf die Reduzierung des Vmin- und Standby-Leckstroms von N12e SRAM IP gelegt.

Zusammenfassung

Auf dem Symposium stellte TSMC mehrere neue Prozessentwicklungen mit spezifischen Optimierungen für HPC-, IoT- und Automobilplattformen vor. Ein weiterer Schwerpunkt liegt auf Verbesserungen der HF-Technologie, um die schnelle Einführung neuer Standards für die drahtlose Kommunikation zu unterstützen. Und obwohl es auf dem Symposium nicht viel Beachtung fand, gibt es natürlich einen klaren Ausführungsplan für die fortgeschrittenen Mainstream-Prozessknoten – N7+, N5 und N3 – mit zusätzlichen fortlaufenden Prozessverbesserungen, die sich in der Veröffentlichung von Intermediate widerspiegeln Knoten N6 und N4.

Weitere Informationen zur digitalen Technologie-Roadmap von TSMC finden Sie hier Link.

-chipkerl

Teile diesen Beitrag über: Quelle: https://semiwiki.com/semiconductor-manufacturers/tsmc/299944-highlights-of-the-tsmc-technology-symposium-2021-silicon-technology/

Zeitstempel:

Mehr von Semiwiki