RISC-V og Chiplets: En paneldiskussion - Semiwiki

RISC-V og Chiplets: En paneldiskussion – Semiwiki

Kildeknude: 3019558

panel

På det seneste RISC-V-topmøde var den allersidste session et panel om chiplets kaldet Chiplets i RISC-V-økosystemet. Det blev modereret af Calista Redmond, administrerende direktør for RISC-V International. Paneldeltagerne var:

  • Laurent Moll, COO i Arteris
  • Aniket Saha, VP for Product Management hos Tenstorrent
  • Dale Greenley, VP for Engineering hos Ventana Microsystems
  • Rob Aitken, Distinguished Architect of Synopsys

Dette er en lidt mærkelig kombination af emner for mig. Det er klart, at du kan sætte en RISC-V-processor på en chiplet, men udfordringerne er ikke rigtig forskellige fra enhver anden processor. Men RISC-V er hot, og det samme er chiplets, og virksomheder som Ventana kombinerer dem.

Lad mig give dig lidt baggrund om virksomhederne for at sætte dem i kontekst:

  • Som du sikkert ved, laver Arteris netværk-på-chip (NoC'er). Det er en neutral virksomhed blandt chiplet-leverandører (og IP-leverandører).
  • Tenstorrent designer en portefølje af meget højtydende multicore RISC-V-chips
  • Ventana har RISC-V IP, men den leverer den også som chiplets
  • Synopsys er naturligvis et EDA-firma, men de annoncerede RISC-V-kerner tidligere på topmødet

]risc-v chiplets

Den egentlige diskussion

Det første spørgsmål fra Calista var en softball, der spurgte, hvad værdien af ​​chiplets var.

Dale sagde, at der ikke var noget specifikt om RISC-V til chiplets, men markedet bestemmer, hvornår du laver store monolitiske ting eller chiplets. Det afhænger af, hvad en kunde vil betale dig for at gøre. "Vi leverer både IP og chiplets, der er plads til begge dele."

Aniket sagde, at "at lave chiplets er ikke billigt, men at lave chiplets og RISC-V er fleksibelt, og du kan hurtigt komme med udhugningsprodukter."

Laurent gik efter produktionsomkostninger. NRE er meget vigtigt at holde under kontrol, da ikke mange mennesker bygger 100M dele. Så der er flere leverandører involveret og en kompliceret forsyningskæde. En SoC er kompleks, men chiplets er værre.

Rob påpegede heterogenitet som at tilføje chiplets til RF og analog, have en valgfri accelerator og så videre. Dette åbner potentielt nye markeder.

Calista fortsatte med at spørge om, hvor vi er inden for bilindustrien.

Aniket påpegede, at bilindustrien er meget konservativ, og nu er de aggressive omkring platforme, der kan skaleres fra lavprisbiler til avancerede biler. Med chiplets har ingen rigtig overvejet funktionel sikkerhed.

Rob gik til rumfart (ikke helt bilindustrien) og diskuterede, hvordan der normalt er et fast fysisk volumen defineret for årtier siden. Det er svært at få tingene til at hænge sammen.

Laurent Moll 2 farve

Laurent: Bilvirksomheder er de ultimative katalogkøbere, og chiplets lader dem tage det bedste inden for AI, radar, infotainment og så videre.

Hvordan får du softwaren til at køre?

Rob: Hvis du gør systemet lille, er det fint. Men indkøb af bilkataloger gør det sværere.

Aniket: Relaterede et udsagn "hvis du tilføjer det, bruger vi det ikke". Automotive softwarestakke vil understøtte RISC-V om 5 år, hvilket er hurtigt. Det tog Arm 15 år at nå dertil.

Q: Hvad har vi brug for til tilslutning?

Laurent: Det er meget komplekst, især med folk, der shopper rundt efter chiplets. PHY'er fra forskellige leverandører kan være interoperable. Alle er vilde med UCIe. Folk vil have standarder, der gør, at chiplets passer bedre.

Aniket klagede over, at der ikke er nogen standarddesignstrømme for chiplet. Stor mangel på standarder.

Rob mener, at vi kan komme med et standardflow, men med forskellige chiplets ønsker vi ikke N forskellige designflows.

Q: Hvor ser du tingene om 3-5 år?

Rob: vi vil være længere sammen med forskellige

"Kategoshopkøb afhænger måske af OEM'er til bilindustrien. Det vil kræve en stor industriindsats. Alle heterogene ting vil tage længere tid.

Aniket sagde, at chiplets først vil være i datacentret og derefter i bilindustrien. Men første bølge vil være enkeltleverandør.

Resumé

risc-v chiplets

Dette er en kombination af ting, som deltagerne sagde, og mine egne meninger.

Jeg tror, ​​at for øjeblikket vil chiplet-baserede RISC-V-designs være en enkelt virksomheds indsats (undtagen måske højbåndbredde-hukommelse (HBM). Det er for komplekst at bygge design med flere chiplets fra forskellige virksomheder, interposers , og netværket til at forbinde dem alle, normalt kendt som RDL.

Designs vil være 2.5D, ikke ægte 3D (hvor matricer er stablet oven på hinanden og kommunikerer med thru-silicium-vias eller TSV'er) i en overskuelig fremtid.

Automotive har sit eget sæt af udfordringer, især at sikre, at chiplet-baserede designs er pålidelige i et miljø med mange vibrationer. Dette vil kræve omfattende test. Et andet problem er at sikre funktionel sikkerhed i et miljø med flere matricer.

UCIe er lovende og er noget baseret på PCIe. PCIe-virksomheder sikrede pålidelighed gennem plugfests. Jeg kan ikke se, hvordan du økonomisk kan sikre UCIe-interoperabilitet i chiplets gennem en lignende mekanisme.

Endelig er der udover tekniske udfordringer kommercielle udfordringer, hvis vi skal komme til det nirvana at kunne købe chiplets fra hylden og samle dem til systemer til en rimelig pris. Den største udfordring er, hvem der skal betale for og holde beholdningen af ​​chiplets. Hvis alle chiplets skal fremstilles on-demand, vil mange af fordelene ved en hurtig cyklustid gå tabt.

Men RISC-V-chiplets kommer helt sikkert hurtigt i form af multi-die-design på 2.5D interposers bygget af et enkelt firma.

Læs også:

NoC'er giver arkitekter fleksibilitet i system-i RISC-V-design

Parring af RISC-V-kerner med NoC'er binder SoC-protokoller sammen

#60DAC-opdatering fra Arteris

Del dette opslag via:

Tidsstempel:

Mere fra Semiwiki