Højdepunkter fra TSMC Technology Symposium 2021 – Emballage

Kildeknude: 894607

Det nylige TSMC Technology Symposium gav adskillige meddelelser i forhold til deres avancerede emballagetilbud.

Generelt

3DFabricTM

Sidste år slog TSMC deres 2.5D- og 3D-pakketilbud sammen til et enkelt, omfattende brand – 3DFabric.

3D stof

2.5D-pakketeknologi – CoWoS

2.5D-pakningsmulighederne er opdelt i CoWoS- og InFO-familierne.

Den "traditionelle" chip-på-wafer-on-substrat med silicium interposer for die-to-die redistribution layer (RDL)-forbindelse fejrer sit 10. år med højvolumenproduktion.

CoWoS-R-muligheden erstatter den (dyre) silicium-interposer, der spænder over omfanget af 2.5D-formningsplaceringsområdet med en organisk substrat-interposer. Afvejningen for CoWoS-R er den mindre aggressive linjestigning for RDL-forbindelserne – f.eks. 4um pitch på den organiske, sammenlignet med sub-um pitch for CoWoS-S.

Mellem silicium -S og organisk -R interposer-mulighederne inkluderer TSMC CoWoS-familien en nyere tilføjelse med en "lokal" siliciumbro til (ultra-kort rækkevidde) sammenkobling mellem tilstødende matricekanter. Disse silicium-slivers er indlejret i et organisk substrat, hvilket giver både højdensitets USR-forbindelser (med tæt L/S-deling) og sammenkoblings- og strømfordelingsegenskaberne af (tykke) ledninger og planer på et organisk substrat.

Bemærk, at CoWoS er udpeget som et "chip last"-samlingsflow, med matrice fastgjort til den fremstillede interposer.

  • 2.5D-pakketeknologi – INFO

InFO anvender (enkelt eller flere) matrice på en bærer, som efterfølgende er indlejret i en rekonstitueret wafer af støbemasse. RDL interconnect og dielektriske lag fremstilles efterfølgende på waferen, en "chip-first" processtrøm. Single-die InFO giver mulighed for høj bump count, hvor RDL-ledningerne strækker sig udad fra matriceområdet – dvs. en "fan-out" topologi. Som illustreret nedenfor omfatter multi-die InFO-teknologien:

    • InFO-PoP: "pakke-på-pakke"
    • INFO-oS: "InFO montage-på-substrat"

INFO muligheder

  • 3D-pakketeknologi – SoIC

3D-pakkerne er forbundet med SoIC-platformen, som anvender stablet matrice med direkte pad-binding, i enten ansigt-til-ansigt eller ansigt-til-bagside-orientering - betegnet som SoIC chip-on-wafer. Gennem silicium vias (TSV'er) giver forbindelse gennem en matrice i 3D-stakken.

SoIC-udviklingskøreplanen er illustreret nedenfor - som et eksempel vil N7-on-N7-formkonfigurationer blive kvalificeret i 4Q21.

SoIC tsmc emballage

Nye meddelelser om emballageteknologi

Der var flere vigtige meddelelser på dette års symposium.

  • maksimal pakkestørrelse og RDL-forbedringer

Efterspørgslen efter et større antal 2.5D-matricer integreret i en enkelt pakke driver behovet for RDL-fremstilling over et større område, hvad enten det er på en interposer eller den rekonstituerede wafer. TSMC er fortsat med at udvide "sømmen" af sammenkoblinger forbi den maksimale sigtekorsstørrelse med enkelt eksponering. På samme måde er der behov for yderligere RDL-lag (med aggressiv trådstigning).

Køreplanen for større pakkestørrelser og RDL-lag inkluderer:

    • CoWoS-S: 3X trådkors (kvalificeret af YE'2021)
    • CoWoS-R: 45X sigtemiddel (3X i 2022), 4 RDL-lag på det organiske substrat (W/S: 2um/2um), i pålidelighedskvalificering ved hjælp af en SoC + 2 HBM2-matricestabler
    • CoWoS-L: testkøretøj i pålidelighedsvurdering ved 1.5X trådkorsstørrelse, med 4 lokale sammenkoblingsbroer mellem 1 SoC og 4 HBM2 matricestabler
    • INFO_oS: 5X sigtemiddel (51 mm x 42 mm, på en 110 mm x 110 mm pakke), 5 RDL-lag (W/S: 2um/2um), i øjeblikket under pålidelighedsvurdering

Nedenstående figur illustrerer en potentiel InFO_oS-konfiguration med logisk dyse omgivet af I/O SerDes-chiplets til støtte for en højhastigheds-/højradix-netværksswitch.

INFO oS emballage tsmc

    • InFO_B (nederst)

InFO_PoP-konfigurationen vist ovenfor afbilder en InFO-samling med et DRAM-modul monteret på toppen, med vias mellem DRAM og RDL-sammenkoblingslagene.

TSMC ændrer dette InFO_PoP-tilbud for at gøre det muligt at færdiggøre (LPDDR DRAM)-pakkesamlingen hos en ekstern kontraktproducent/OSAT, en mulighed angivet ved InFO_B, som vist nedenfor.

INFO B

Tilsvarende har TSMC udvidet "Open Innovation Platform" til at omfatte 3DFabric-partnere, der er kvalificeret til InFO_B slutmontage. (I øjeblikket er 3DFabric-partnervirksomhederne: Amkor Technology, ASE Group, Integrated Service Technology og SK Hynix.)

    • CoWoS-S "standardarkitektur" (STAR)

En udbredt designimplementering for CoWoS-S er integrationen af ​​en enkelt SoC med flere High-Bandwidth Memory (HBM) matricestacks. Databusbredden mellem den logiske dyse og HBM2E (2. generation) stakkene er meget stor – dvs. 1024 bit.

Routing- og signalintegritetsudfordringerne for at forbinde HBM-stakkene til SoC'en gennem RDL er betydelige. TSMC forsyner systemvirksomheder med flere standard CoWoS-S-designkonfigurationer for at fremskynde ingeniørudvikling og tidsplaner for elektriske analyser. Figuren nedenfor illustrerer nogle af de forskellige CoWoS-S muligheder, der spænder fra 2 til 6 HBM2E stakke.

STAR

TSMC forventer en høj vedtagelsesrate af disse standarddesignimplementeringer i 2021.

  • nye TIM materialer

En tynd film med termisk grænseflademateriale (TIM) er almindeligvis inkorporeret i en avanceret pakke for at hjælpe med at reducere den totale termiske modstand fra den aktive matrice til det omgivende miljø. (For enheder med meget høj effekt påføres der sædvanligvis to lag af TIM-materiale - et indvendigt lag mellem formen og emballagelåget og et mellem emballagen og kølepladen.)

I overensstemmelse med den øgede effekttab af større pakkekonfigurationer, forfølger TSMCs avancerede emballage-F&U-team nye interne TIM-materialemuligheder, som vist nedenfor.

TIM køreplan

  • udvidelse af avanceret emballage (AP) fremstillingskapacitet

I forventning om øget anvendelse af det fulde komplement af 3DFabric-emballage, investerer TSMC betydeligt i at udvide den avancerede emballageproduktionskapacitet (AP), som illustreret nedenfor.

AP kort emballage tsmc

For mere information om TSMC's 3DFabric-teknologi, følg venligst denne link.

-chipfyr

Del dette opslag via: Kilde: https://semiwiki.com/semiconductor-manufacturers/tsmc/299955-highlights-of-the-tsmc-technology-symposium-2021-packaging/

Tidsstempel:

Mere fra Semiwiki