تحقيق إغلاق أسرع للتحقق من التصميم

تحقيق إغلاق أسرع للتحقق من التصميم

عقدة المصدر: 1934272

في مشاريع تصميم الشرائح الكبيرة ، يمكن أن تكون جهود التحقق المنطقي أكبر من جهد التصميم ، حيث تستغرق ما يصل إلى 70٪ من وقت المشروع استنادًا إلى البيانات من 2022 مجموعة أبحاث ويلسون الموجودات. للأسف ، انخفض معدل النجاح الأول من السيليكون من 31 في المائة إلى 24 في المائة فقط في السنوات الثماني الماضية ، مما تسبب في دوران آخر لتصحيح العيوب ، مما يكلف الشركات الوقت الضائع في السوق ويضر بالتأكيد بخطط الإيرادات الخاصة بها. من المؤكد أن التحقق الأفضل سيحسن نجاح السيليكون الأول ، لكن قول ذلك أسهل من فعله.

بعض الأرقام الواقعية الأخرى من دراسة مجموعة ويلسون للأبحاث:

  • ASIC - نجاح بنسبة 24٪ لأول مرة ، و 36٪ تنتهي في الوقت المحدد
  • FPGA - 16٪ يحققون صفرًا من الهروب من الأخطاء ، وينتهي 30٪ في الوقت المحدد

يتطلب التحقق من التصميم العديد من المهام الصعبة: تصحيح الأخطاء وإنشاء الاختبارات ثم تشغيل المحركات وتطوير طاولة الاختبار وتخطيط الاختبار. من الناحية المثالية ، يريد فريقك تقليل أوقات التسليم ، والوصول إلى إغلاق التحقق مع أقل عدد من الأشخاص وحساب الموارد ، وتلبية الامتثال للسلامة ، ومعرفة متى تكون جودة التصميم عالية بما يكفي للتوقف عن التحقق ، مع تلبية الجدول الزمني للمشروع.

تلقيت مؤخرًا تحديثًا من خبير التحقق من التصميم Darron May في Siemens EDA لسماع شيء تم الإعلان عنه للتو ، يسمى كويستا التحقق من الذكاء. يدور نهجهم حول التحقق المستند إلى البيانات الذي تم تشكيله حول استخدام إمكانية التتبع والتعاون والتحليلات المدعومة من AI / ML. قدمت التحليلات التقليدية إنتاجية محدودة ورؤية ثاقبة في مجرد وصف السلوك المنطقي وتشخيصه ، بينما تقدم التحليلات القائمة على البيانات الضخمة باستخدام الذكاء الاصطناعي / التعلم الآلي إجراءات تنبؤية وتعليمية للتحقق. أصبحت فرق البرامج والأجهزة أكثر إنتاجية من خلال التعاون من خلال استخدام CI (التكامل المستمر) ، رشيق أساليب، ALM (إدارة دورة حياة التطبيق) ، والتصميم القائم على السحابة ، وتطبيق تقنيات الذكاء الاصطناعي / تعلم الآلة. تحتاج صناعات السلامة الحيوية إلى إمكانية التتبع بين المتطلبات والتنفيذ والتحقق ، على النحو المحدد في معايير الصناعة مثل ISO 26262 و DO-254.

إليك الصورة الكبيرة لكيفية قيام Quest Verification IQ بربط جميع البيانات من محركات التحقق المختلفة معًا في تدفق يعتمد على البيانات ، إلى جانب أداة ALM.

كويستا التحقق من معدل الذكاء دقيقة
كويستا التحقق من الذكاء

يتم جمع بيانات التغطية من المحاكاة المنطقية (هذا) والمحاكاة والنمذجة (فيلوس) ، AMS (سمفونية)، رَسمِيّ (OneSpin) و Static و FuSa. تحلل ميزة ML كل هذه البيانات من أجل التنبؤ بالأنماط والكشف عن أي ثغرات ، والإشارة إلى الأسباب الجذرية ، ثم تحديد الإجراءات لتحسين التغطية. ALM الموضح هو بولاريون من Siemens ، على الرغم من أنه يمكنك استخدام ALM آخر ، تمامًا كما يمكنك استخدام محركات التحقق المفضلة لديك.

يعد Questa Verification IQ إطارًا قائمًا على المتصفح ويتضمن دليل العملية بحيث يمكنك إنشاء تدفق حرج للسلامة باستخدام إدارة دورة الحياة لتخطيط جميع المتطلبات وتتبعها. يمكّن متصفح الانحدار فريقك من إنشاء الاختبارات وتنفيذها ومراقبة النتائج والحصول على سجل تحقق كامل. باستخدام محلل التغطية ، تعرف مدى اكتمال تغطيتك للرمز والكتل الوظيفية وخطط الاختبار. أخيرًا ، توفر لك تحليلات البيانات المقدمة نظامًا أساسيًا متريًا ، باستخدام لوحات معلومات المشروع وتوفير تحليلات متقاطعة.

يتسع إطار العمل المستند إلى الويب لأي حجم من مشاريع الإلكترونيات ، ولن تضطر إلى تثبيت أي برنامج أو القلق بشأن تحديث نظام التشغيل لديك. كما أنه يدعم إعدادات السحابة العامة أو الخاصة أو المختلطة. مع تطبيق AI / ML ، يتم تسريع عملية إغلاق التحقق ، بينما تتسارع جهود التصحيح حيث يساعد تحليل السبب الجذري في تحديد مكان التحسين.

سألت دارون ماي بعض الأسئلة التوضيحية.

س: هل يمكنني المزج بين Questa Verification IQ ومطابقته مع أي من أدوات البائع EDA و ALM؟

A: تدعم شركة Questa Verification IQ أدوات ومحركات ALM عبر نهج قائم على المعايير. إنه يتفاعل مع أدوات ALM باستخدام Open Services for Lifecycle Collaboration (OSLC) بحيث يمكن استخدام أي أداة تدعم المعيار مثل Doors next أو Siemens Polarion و Teamcenter. يمكن تشغيل أي محرك بواسطة Questa Verification IQ ومرة ​​أخرى لدينا دعم للتغطية عبر معيار التشغيل التفاعلي للتغطية الموحدة (UCIS).

س: كيف يقارن هذا النهج مع Synopsys DesignDash؟

A: تركز Synopsys DesignDash على ML لبيانات التصميم بينما تركز Questa Verification IQ على التحقق المستند إلى البيانات باستخدام التحليلات ، بما في ذلك ML ، لتسريع إغلاق التحقق وتقليل أوقات الدوران وتوفير أقصى قدر من كفاءة العملية. يوفر Questa Verification IQ التطبيقات اللازمة لإدارة التحقق التعاوني القائم على الفريق في إطار عمل قائم على المستعرض مع وصول مركزي إلى البيانات.

س: كيف يقارن هذا النهج بـ Cadence Verisium؟

A: يركز Cadence Verisium فقط على التحقق بمساعدة ML. وبالمقارنة ، توفر شركة Siemens Questa Verification IQ حلاً كاملاً للتحقق مدفوعًا بالبيانات مدعومًا بالتحليلات والتعاون والتتبع. يتم توفير إدارة التحقق في أداة قائمة على المتصفح مع تطبيقات مبنية حول التعاون. تقدم Coverage Analyzer أول أداة تعاونية لإغلاق التغطية في الصناعة باستخدام التنقل التحليلي بمساعدة ML. واجهات IQ للتحقق من الأسئلة مع شركة Siemens Polarion باستخدام OSLC وتوفر إمكانية تتبع مؤشر ترابط رقمي محكم مع إدارة دورة حياة التطبيق بدون تغيير في سياق واجهة المستخدم ، مما يوفر قوة ALM للتحقق من الأجهزة.

نبذة عامة

أنا معجب دائمًا بأدوات EDA الجديدة التي تجعل المهمة المعقدة أسهل من خلال العمل بذكاء ، ولا تتطلب من المهندسين بذل المزيد من الساعات من الجهد اليدوي. مع التأييد المبكر لـ Questa Verification IQ من شركات مألوفة مثل Arm و Nordic Semiconductor ، يبدو أن شركة Siemens EDA قد أضافت شيئًا مقنعًا لفرق التحقق للنظر فيه.

مدونات ذات الصلة

شارك هذا المنشور عبر:

الطابع الزمني:

اكثر من سيميويكي