TSMC Technology Symposium 2021 亮点 – Silicon Technology

源节点: 1856568

最近,台积电举办了年度技术研讨会,提供了硅工艺技术和封装路线图的最新信息。 本文将回顾硅工艺发展的亮点和未来的发布计划。

后续文章将描述包装产品,并深入研究专门针对汽车行业的技术开发和资格认证。 几年前,台积电定义了四个“平台”,这些平台将获得独特的研发投资来优化特定的技术产品:高性能计算(HPC); 移动的; 边缘/物联网计算(超低功耗/泄漏); 以及汽车。 对汽车市场工艺开发的关注是研讨会上的一个普遍主题,将在另一篇文章中介绍。

顺便说一句,这些平台仍然是台积电路线图的基础。 然而,移动领域已经超越了 (4G) 智能手机,涵盖了更广泛的应用程序。 “数字数据转型”的出现导致边缘设备和云/数据中心资源之间的无线通信选项的需求增加——例如WiFi6/6E、5G/6G(工业和城域)网络。 因此,台积电强调对射频工艺技术开发的投资,以应对这一不断扩大的细分市场。

其他咨询

以下是研讨会的一些一般要点,然后是具体的工艺技术公告。

  • 产品的广度

2020 年,台积电将支持范围扩大到涵盖 281 种不同的工艺技术,向 11,617 家客户交付 510 种产品。 与往年一样,台积电自豪地表示“我们从未关闭过一座晶圆厂”。

到 2020 年,当前产能将超过 12 万片(12 英寸等效)晶圆,并对先进(数字)和专业工艺节点进行扩张投资。

  • 资本设备投资

台积电计划未来三年总计投资100亿美元,其中今年资本支出为30亿美元,以支持全球客户需求。

帽设备计划硅台积电

台积电 2020 年全球收入为 $47.78B,每年承诺扩建 30B 美元,这无疑表明半导体市场将出现显着且广泛的增长,特别是对于 7nm 和 5nm 工艺系列。 例如,7nm 系列的新流片 (NTO) 将在 60 年增长 2021%。

台积电已开始在亚利桑那州菲尼克斯建设一座美国晶圆厂,N5 工艺将于 2024 年开始量产(每月约 20 万片晶圆)。

  • 环境倡议

晶圆厂对电力、水和(反应性)化学品的消耗量很大。 台积电致力于到 100 年过渡到 2050% 可再生能源(到 25 年达到 2030%)。 此外,台积电正在投资“零废物”回收和净化系统,将用过的化学品恢复到“电子级”质量。

需要注意的是……我们的行业是出了名的周期性的,经济的起起落落都会加剧。 台积电在研讨会上发出的明确信息是,在可预见的未来,半导体在所有平台上的加速采用——从数据密集型计算中心到无线/移动通信、汽车系统到低功耗设备——将持续下去。

工艺技术路线图

  • N7/N7+/N6/N5/N4/N3

下图总结了先进技术路线图。

台积电逻辑技术路线图

N7+代表在基准N7工艺中引入了EUV光刻技术。 N5自2020年起已量产。

N3 仍将是基于 FinFET 的技术产品,并于 2 年下半年开始量产。 与N2022相比,N5将提供:

  • +10-15% 性能(等功率)
  • -25-30% 功率(iso 性能)
  • +70% 逻辑密度
  • +20% SRAM 密度
  • +10% 模拟密度

TSMC 基础 IP 通常提供两个标准单元库(不同轨道高度),以满足 HPC 和移动领域的独特性能和逻辑密度。 对于 N3,对性能/功率(和电源电压域)范围“全面覆盖”的需求导致了第三个标准单元库的引入,如下所示。

N3 标准单元库

N3 的设计支持将于下季度向 v1.0 PDK 状态迈进,到 2 年第二季度/第三季度将获得一系列广泛的 IP。

N4是对现有N5生产工艺的独特“推”。 可直接使用光学微缩镜,与现有的 N5 设计兼容。 此外,对于新设计(或有兴趣进行物理重新实现的现有设计),当前 N5 设计规则有一些可用的增强功能以​​及标准单元库的更新。

同样,N6 是 7nm 系列的更新,越来越多地采用 EUV 光刻(超过 N7+)。 台积电表示,“N7 仍然是 5 年越来越多的 2021G 移动和人工智能加速器设计的关键产品。”

  • N7HPC 和 N5HPC

HPC 平台性能要求严苛的一个表现是客户对应用电源电压“超速”(高于标称工艺 VDD 限制)的兴趣。 台积电将提供支持超速的独特“N7HPC”(4 年第 21 季度)和“N5HPC”(2 年第 22 季度)工艺变体,如下图所示。

N7高性能计算

这些 HPC 技术将会有相应的 SRAM IP 设计版本。 正如预期的那样,对此(个位数百分比改进)性能选项感兴趣的设计人员将需要解决增加的静态泄漏、BEOL 可靠性加速因素和器件老化故障机制。 台积电在专门针对各个平台优化的工艺开发和鉴定方面的投资值得注意。 (最后一个 HPC 特定工艺变体是在 28 纳米节点。)

  • 射频技术

WiFi6/6E和5G(sub-6GHz和毫米波)无线通信的市场需求促使台积电更加关注射频器件的工艺优化。 射频开关也是一个关键的应用领域。 低功耗无线通信协议,例如蓝牙(具有重要的数字集成功能)也是焦点。 毫无疑问,汽车雷达成像系统的需求将会不断增长。 下图总结了毫米波应用。

毫米波

通常用于描述射频技术性能的两个关键参数是:

  • 器件 Ft(“截止频率”),其中电流增益 = 1,与器件沟道长度 L 成反比
  • 器件 Fmax(“最大振荡频率”),其中功率增益 = 1,与 Ft 的平方根成正比,与 Cgd 和 Rg 的平方根成反比

Ft Fmax 计算

台积电射频技术路线图如下所示,分为不同的应用领域。

射频路线图

研讨会上重点介绍了 N6RF 工艺 - 与 N16FFC-RF 的器件性能比较如下所示。

N6RF对比tsmc硅片

N28HPC+RF 和 N16FFC-RC 工艺最近也得到了增强,例如,寄生栅极电阻 Rg 的改进得到了强调。 对于低噪声放大器 (LNA) 应用,台积电正在 130 纳米和 40 纳米发展其 SOI 产品。

  • ULP/ULL 技术

物联网和边缘设备应用预计将变得更加普遍,需要以极低功耗 (ULP) 提高计算吞吐量,并结合超低泄漏 (ULL) 静态功耗以延长电池寿命。

TSMC 提供了 ULP 工艺变体,即 IP 在极低 VDD 电源电压下的操作功能。 台积电还启用了 ULL 解决方案,其中的器件/IP 利用了优化的阈值电压。

下面给出了 IoT (ULP/ULL) 平台和流程路线图的概述。

ULL ULP 路线图 台积电硅片

TSMC 重点介绍了 N12e 工艺节点,该节点集成了嵌入式非易失性存储器技术(MRAM 或 RRAM),标准单元功能电压低至 0.55V(使用 SVT 器件;低 Vt 单元可在更高漏电情况下实现更低 VDD 和有功功率) 。 同样,我们还重点关注降低 N12e SRAM IP 的 Vmin 和待机漏电流。

总结

在研讨会上,台积电介绍了多项新工艺开发,针对 HPC、物联网和汽车平台进行了具体优化。 射频技术的增强也是一个重点,以支持新无线通信标准的快速采用。 而且,可以肯定的是,尽管它在研讨会上没有受到太多重视,但高级主流工艺节点(N7+、N5 和 N3)有一个明确的执行路线图,并在中间版本的发布中反映了额外的持续工艺改进节点 N6 和 N4。

了解更多台积电数字化技术路线图信息,请关注此 链接.

-花栗鼠

通过以下方式分享此帖子: 资料来源:https://semiwiki.com/semiconductor-manufacturers/tsmc/299944-highlights-of-the-tsmc-technology-symposium-2021-silicon-technology/

时间戳记:

更多来自 半维基