Höjdpunkter från TSMC Technology Symposium 2021 – Silicon Technology

Källnod: 1856568

Nyligen höll TSMC sitt årliga Technology Symposium, som gav en uppdatering om kiselprocessteknologin och förpackningsfärdplanen. Den här artikeln kommer att granska höjdpunkterna i utvecklingen av kiselprocessen och framtida releaseplaner.

Efterföljande artiklar kommer att beskriva förpackningserbjudandena och fördjupa sig i teknikutveckling och kvalificering specifikt för fordonssektorn. För flera år sedan definierade TSMC fyra "plattformar" som skulle få unika FoU-investeringar för att optimera specifika tekniska erbjudanden: högpresterande datoranvändning (HPC); mobil; edge/IoT-beräkning (ultra-låg effekt/läckage); och bilindustrin. Fokus på processutveckling för fordonsmarknaden var ett genomgående tema på symposiet och kommer att behandlas i en separat artikel.

Parentetiskt förblir dessa plattformar grunden för TSMC:s färdplan. Ändå har mobilsegmentet utvecklats bortom (4G) smartphones för att omfatta en bredare uppsättning applikationer. Framväxten av den "digitala datatransformationen" har lett till ökad efterfrågan på trådlösa kommunikationsalternativ mellan edge-enheter och moln-/datacenterresurser – t.ex. WiFi6/6E, 5G/6G (industriella och storstadsnätverk). Som ett resultat av detta betonar TSMC sin investering i RF-processteknologiutveckling för att möta detta växande segment.

Allmänt

Här är några allmänna höjdpunkter från symposiet, följt av specifika processteknikmeddelanden.

  • bredd av erbjudanden

Under 2020 utökade TSMC sitt stöd till att omfatta 281 distinkta processteknologier och skickade 11,617 510 produkter till XNUMX kunder. Liksom tidigare år sa TSMC stolt "vi har aldrig stängt ner en fab."

Den nuvarande kapaciteten 2020 överstiger 12 miljoner (12” ekvivalenter) wafers, med expansionsinvesteringar för både avancerade (digitala) och specialprocessnoder.

  • investeringar i kapitalutrustning

TSMC planerar att investera totalt 100 miljarder USD under de kommande tre åren, inklusive investeringar på 30 miljarder USD i år, för att stödja globala kundbehov.

lock utrustning plan silicon tsmc

TSMC:s globala intäkter för 2020 var 47.78 miljarder dollar – den årliga satsningen på 30 miljarder dollar för fantastisk expansion skulle verkligen antyda en förväntan om betydande och utökad tillväxt på halvledarmarknaden, särskilt för 7nm- och 5nm-processfamiljerna. Till exempel kommer nya tapeouts (NTOs) för 7nm-familjen att öka med 60 % 2021.

TSMC har börjat bygga en amerikansk fabrik i Phoenix, AZ – volymproduktion av N5-processen kommer att påbörjas 2024 (~20K wafers per månad).

  • miljöinitiativ

Fabriker efterfrågar konsumenter av el, vatten och (reaktiva) kemikalier. TSMC fokuserar på att övergå till 100 % förnybara energikällor till 2050 (25 % till 2030). Dessutom investerar TSMC i "zero waste"-återvinnings- och reningssystem, och återställer använda kemikalier till "elektronisk kvalitet".

En varning… Vår bransch är berömd cyklisk, med förstärkta ekonomiska uppgångar och nedgångar. Det tydliga budskapet från TSMC på symposiet är att det accelererande införandet av halvledare på alla plattformar – från dataintensiva beräkningscentra till trådlös/mobil kommunikation till bilsystem till lågeffektsenheter – kommer att fortsätta under överskådlig framtid.

Process Technology Roadmap

  • N7/N7+/N6/N5/N4/N3

Figuren nedan sammanfattar färdplanen för avancerad teknik.

logikteknik färdplan tsmc

N7+ representerar introduktionen av EUV-litografi till baslinjen för N7-processen. N5 har varit i volymproduktion sedan 2020.

N3 kommer att förbli ett FinFET-baserat teknologierbjudande, med volymproduktion som startar 2H2022. Jämfört med N5 ger N3:

  • +10-15 % prestanda (iso-power)
  • -25-30 % effekt (iso-prestanda)
  • +70 % logisk densitet
  • +20 % SRAM-densitet
  • +10 % analog densitet

TSMC Foundation IP har vanligtvis erbjudit två standardcellbibliotek (med olika spårhöjder) för att adressera den unika prestandan och logiska tätheten hos HPC- och mobilsegmenten. För N3 har behovet av "full täckning" av prestanda-/effekt- (och matningsspänningsdomän) lett till introduktionen av ett tredje standardcellbibliotek, som visas nedan.

N3 stdcell libs

Designaktivering för N3 går mot v1.0 PDK-status nästa kvartal, med en bred uppsättning IP-kvalificerade senast 2Q/3Q 2022.

N4 är en unik "push" till den befintliga N5-produktionsprocessen. En optisk krympa är direkt tillgänglig, kompatibel med befintliga N5-designer. Dessutom, för nya konstruktioner (eller befintliga konstruktioner som är intresserade av att genomföra en fysisk omimplementering), finns det några tillgängliga förbättringar av nuvarande N5-designregler och en uppdatering av standardcellbiblioteken.

På samma sätt är N6 en uppdatering av 7nm-familjen, med ökande användning av EUV-litografi (över N7+). TSMC indikerade, "N7 förblir ett nyckelerbjudande för det ökande antalet 5G-mobil- och AI-acceleratordesigner under 2021."

  • N7HPC och N5HPC

En indikation på de krävande prestandakraven för HPC-plattformen är kundens intresse av att tillämpa matningsspänningen "överväxling", över den nominella process VDD-gränsen. TSMC kommer att erbjuda unika "N7HPC" (4Q21) och "N5HPC" (2Q22) processvarianter som stöder överväxling, som illustreras nedan.

N7HPC

Det kommer att finnas en motsvarande SRAM IP-designversion för dessa HPC-tekniker. Som väntat kommer designers som är intresserade av detta (ensiffrig procentuell förbättring) prestandaalternativ att behöva ta itu med ökat statiskt läckage, BEOL-tillförlitlighetsaccelerationsfaktorer och mekanismer för enhetsåldringsfel. TSMC:s satsning på utveckling och kvalificering av processer specifikt optimerade för enskilda plattformar är anmärkningsvärd. (Den sista HPC-specifika processvarianten var vid 28nm-noden.)

  • RF-teknik

Marknadens efterfrågan på trådlös kommunikation med WiFi6/6E och 5G (sub-6GHz och mmWave) har fått TSMC att öka fokus på processoptimeringar för RF-enheter. RF-switchar är också ett viktigt applikationsområde. Trådlösa kommunikationsprotokoll med låg effekt, såsom Bluetooth (med betydande digital integrationsfunktion) är också ett fokus. Radarbildsystem för bilar kommer utan tvekan att uppleva en växande efterfrågan. mmWave-applikationerna sammanfattas i figuren nedan.

mmWave

De två nyckelparametrarna som vanligtvis används för att beskriva RF-teknikens prestanda är:

  • enhet Ft ("cutoff frequency") där strömförstärkning = 1, omvänt proportionell mot enhetens kanallängd, L
  • enhet Fmax ("maximal oscillationsfrekvens"), där effektförstärkning = 1, proportionell mot kvadratroten av Ft, omvänt proportionell mot kvadratroten av Cgd och Rg

Ft Fmax beräkning

TSMC RF-teknikens färdplan visas nedan, uppdelad i olika applikationssegment.

RF färdplan

N6RF-processen lyftes fram vid symposiet – en enhetsprestandajämförelse med N16FFC-RF visas nedan.

N6RF jämförelse tsmc kisel

N28HPC+RF- och N16FFC-RC-processerna har också nyligen fått förbättringar – till exempel framhävdes förbättringar i det parasitiska grindmotståndet, Rg. För lågbrusförstärkare (LNA) applikationer utvecklar TSMC sina SOI-erbjudanden vid 130nm och 40nm.

  • ULP/ULL Technologies

IoT- och edge-enhetstillämpningar förväntas bli mer genomgripande och kräver ökad beräkningsgenomströmning vid mycket låg effektförlust (ULP) kombinerat med ultralågt läckage (ULL) statisk effektförlust för förbättrad batteritid.

TSMC har tillhandahållit ULP-processvarianter – dvs driftfunktionalitet för IP vid mycket låg VDD-matningsspänning. TSMC har också möjliggjort ULL-lösningar, med enheter/IP som använder optimerade tröskelspänningar.

En översikt över IoT (ULP/ULL)-plattformen och processfärdplanen ges nedan.

ULL ULP färdplan tsmc silicon

N12e-processnoden lyftes fram av TSMC, som integrerar en inbäddad icke-flyktig minnesteknik (MRAM eller RRAM), med standardcellfunktionalitet ner till 0.55V (med SVT-enheter; låg Vt-celler skulle möjliggöra lägre VDD och aktiv effekt vid högre läckage) . Jämförbart fokus har lagts på att minska Vmin och standby-läckström för N12e SRAM IP också.

Sammanfattning

På symposiet introducerade TSMC flera nya processutvecklingar, med specifika optimeringar för HPC, IoT och fordonsplattformar. Förbättringar av RF-teknik är också ett fokus, till stöd för ett snabbt antagande av nya trådlösa kommunikationsstandarder. Och, för att vara säker, även om det inte fick mycket betoning på symposiet, finns det en tydlig färdplan för de avancerade vanliga processnoderna – N7+, N5 och N3 – med ytterligare kontinuerliga processförbättringar som återspeglas i lanseringen av intermediär noderna N6 och N4.

För mer information om TSMC:s färdplan för digital teknik, följ denna länk.

-chipkille

Dela det här inlägget via: Källa: https://semiwiki.com/semiconductor-manufacturers/tsmc/299944-highlights-of-the-tsmc-technology-symposium-2021-silicon-technology/

Tidsstämpel:

Mer från Semiwiki