環境的に持続可能な FPGA (ノートルダム大学、ピッツバーグ大学)

環境的に持続可能な FPGA (ノートルダム大学、ピッツバーグ大学)

ソースノード: 3031754

「REFRESH FPGA: Sustainable FPGA Chiplet Architectures」というタイトルの新しい技術論文が、ノートルダム大学とピッツバーグ大学によって発行されました。

抽象
「ユビキタス コンピューティング デバイスの複雑な計算ニーズに応えるために、エッジおよびクラウド インフラストラクチャにますます俊敏性の高い計算能力を求める声が高まっています。したがって、重要な課題は、これらの次世代コンピューティング システムが環境に与える総合的な影響に対処することです。これを達成するには、コンピューティングの進歩に対する持続可能性のライフサイクルの視点が必要であり、これらのコンピューティングの選択による温室効果ガスの排出などの環境への影響を削減する必要があります。残念ながら、コンピューティング デバイスの運用エネルギー効率に対処するための数十年にわたる取り組みは、これらのエッジ システムやクラウド システム、特にその集積回路の製造から生じる具体的な影響を無視し、場合によっては悪化させてきました。この間、FPGA アーキテクチャはサイズが増大したことを除いて劇的には変化していません。この状況を考慮して、2.5D 統合を使用して、最近廃止された FPGA ダイから新しい FPGA デバイスとアーキテクチャを構築する REFRESH FPGA を提案します。 REFRESH FPGA を構築するには、既存のチップレット ピンを活用し、安価に製造できるインターポーザーと創造的な設計の自動化を組み合わせた創造的なアーキテクチャが必要です。このペーパーでは、REFRESH FPGA が再生可能エネルギーをデータセンターに統合するという業界のトレンドを活用しながら、持続可能性を全体的に向上させ、大幅な具体化されたコスト投資をはるかに長い「最初の」耐用年数にわたって償却する方法について説明します。

技術を見つける ここに紙. 2023 年 XNUMX 月公開。

Zhou、Peipei、Jinming Zhuang、Stephen Cahoon、Yue Tang、Zhuoping Yang、Xingzhen Chen、Yiyu Shi、Jingtong Hu、Alex K. Jones。 「REFRESH FPGA: 持続可能な FPGA チップレット アーキテクチャ」 arXiv プレプリント arXiv:2312.02991 (2023)。

関連記事
プロプライエタリ vs.商用チップレット
誰が勝ち、誰が負け、マルチベンダーの異種統合における大きな課題はどこにあるのか。

タイムスタンプ:

より多くの セミエンジニアリング