Conception de serveur avec interface CXL efficace en broches (Georgia Tech)

Conception de serveur avec interface CXL efficace en broches (Georgia Tech)

Nœud source: 2642551

Un nouveau document technique intitulé "A Case for CXL-Centric Server Processors" a été rédigé par des chercheurs de Georgia Tech.

Résumé:
« Le système de mémoire est un déterminant majeur des performances des processeurs de serveur. Le nombre de cœurs et les ensembles de données en constante augmentation exigent une bande passante et une capacité plus élevées, ainsi qu'une latence plus faible du système de mémoire. Pour répondre aux demandes croissantes, la DDR, l'interface de processeur dominante avec la mémoire au cours des deux dernières décennies, a offert une bande passante plus élevée à chaque génération. Cependant, étant donné que chaque interface DDR parallèle nécessite un grand nombre de broches sur puce, la bande passante mémoire du processeur est finalement limitée par son nombre de broches, qui est une ressource rare. Avec une bande passante limitée, plusieurs demandes de mémoire se disputent généralement pour chaque canal de mémoire, ce qui entraîne des retards de file d'attente importants qui éclipsent souvent le temps de service de la DRAM et dégradent les performances.

Nous présentons CoaXiaL, une conception de serveur qui surmonte les limitations de la bande passante mémoire en remplaçant toutes les interfaces DDR du processeur par l'interface CXL plus efficace en matière de broches. L'adoption généralisée et l'élan industriel de CXL rendent une telle transition possible, offrant une bande passante 4 fois plus élevée par broche par rapport à la DDR avec une surcharge de latence modeste. Nous démontrons que, pour une large gamme de charges de travail, la prime de latence de CXL est plus que compensée par sa bande passante plus élevée. Comme CoaXiaL distribue les demandes de mémoire sur plusieurs canaux, il réduit considérablement les délais de mise en file d'attente et, par conséquent, la valeur moyenne et la variance de la latence d'accès à la mémoire. Notre évaluation avec une variété de charges de travail montre que CoaXiaL améliore les performances des serveurs orientés débit manycore de 1.52× en moyenne et jusqu'à 3×.

Trouvez le article technique ici. Peut 2023.

Auteurs : Albert Cho, Anish Saxena, Moinuddin Qureshi, Alexandros Daglis. arXiv:2305.05033v1.
https://doi.org/10.48550/arXiv.2305.05033

Horodatage:

Plus de Semi-ingénierie