RealTime Digital DRC peut gagner du temps près du tapeout

Nœud source: 887159


Au fil des années, les outils DRC ont accompli un travail admirable en suivant le rythme de la croissance énorme de la taille des conceptions de circuits intégrés. Pourtant, les travaux de DRC pour l'approbation de la conception complète à l'aide des jeux de règles de fonderie prennent plusieurs heures. Ces longs délais d'exécution sont acceptables pour l'approbation finale, mais il existe de nombreuses situations dans lesquelles les résultats du DRC sont nécessaires rapidement lorsque de petites modifications sont apportées à la conception pour résoudre des problèmes ultérieurs. Siemens EDA, en collaboration avec MaxLinear, a rédigé un livre blanc qui montre comment la DRC intégrée à la conception Caliber RealTime Digital peut fournir rapidement des résultats DRC lorsque de petites modifications ont été apportées à la conception. Un traitement DRC plus rapide pour les modifications localisées peut accélérer la correction itérative des erreurs qui est souvent nécessaire pour atteindre la sortie de bande.

Dans le livre blanc intitulé « MaxLinear and Caliber RealTime Digital : Faster signoff DRC convergence plus design optimisation for fabricability », MaxLinear et Siemens parlent des circonstances dans lesquelles la possibilité d'exécuter le DRC sur une petite région d'une conception avec le jeu de règles complet de fonderie peut économisez de nombreuses heures et des itérations lentes et douloureuses.

Les outils P&R modernes font un excellent travail pour produire une mise en page correcte de la RDC. Cependant, il existe toujours des cas où les sources de violations sont plus complexes et ne sont pas prises en compte lors du P&R. Ceux-ci nécessitent généralement une correction manuelle prenant en compte des règles de conception complexes. Au cours de ces corrections manuelles, il est également probable que de nouvelles erreurs soient introduites. Siemens présente des informations de MaxLinear dans le livre blanc qui souligne comment Caliber RealTime Digital interfacé avec son outil P&R leur permet de mettre en œuvre et de valider rapidement des correctifs manuels. L’alternative consiste à effectuer une analyse DRC complète simplement pour voir si les changements dans une petite zone sont corrects.

RDC en temps réel
RDC numérique en temps réel

MaxLinear fabrique des puces avec des blocs analogiques et numériques. Les blocs analogiques nécessitent moins de couches métalliques, c'est pourquoi, pour réduire les coûts de fabrication, ils cherchent à réduire les couches de routage dans la conception complète. Cela crée des problèmes de densité de routage, qui entrent souvent en conflit avec le maintien d’un classement DFM élevé. Les vias à coupe unique utilisent le moins d'espace mais contribuent à un faible classement DFM. Lorsque les choses se présentent, les concepteurs de MaxLinear peuvent basculer manuellement entre les types pour gérer le routage par rapport aux compromis DFM. Mais ceux-ci doivent être suivis d’un DRC complet pour vérifier des éléments tels que les violations multi-modèles. Caliber RealTime Digital permet aux concepteurs de permuter les types, puis de voir rapidement si des erreurs DRC existent.

Les vias ne sont pas le seul problème pouvant conduire à des problèmes en RDC qui nécessitent du temps et des efforts pour être résolus. Le livre blanc décrit plusieurs situations dans lesquelles un travail manuel est requis et la seule façon de résoudre définitivement ces problèmes est d'obtenir une exécution propre de DRC. L’une de ces situations qui se produit tard dans le processus est celle où des contrôles de re-tapeout (RTO) sont nécessaires pour garantir que les ECO sont compatibles avec les masques existants. Les règles RTO sont par nature plus restrictives que les règles originales de la RDC.

Le livre blanc aborde également les problèmes d'électromigration qui peuvent être causés par l'utilisation de vias inappropriés. Là encore, Caliber ReatTime Digital a joué un rôle déterminant pour MaxLinear dans la mise en œuvre de la correction DRC via le remplacement. Dans l'ensemble, de nombreuses circonstances nécessitent des contrôles DRC après que de petites modifications ont été apportées pour corriger des problèmes fonctionnels ou de fabricabilité dans une conception. Avoir la possibilité d’obtenir des résultats immédiats, au lieu d’attendre un jour ou plus pour une exécution complète de la RDC, peut permettre de gagner des jours ou des semaines sur un projet. Ce n’est pas bon d’avoir des surprises après un gros parcours en RDC. L'interface Siemens Caliber RealTime Digital avec les outils P&R semble être la solution idéale pour cela. Ce livre blanc de Siemens est disponible sur le site Web de Siemens EDA.

Partagez cet article via:


Source : https://semiwiki.com/eda/299770-realtime-digital-drc-can-save-time-close-to-tapeout/

Horodatage:

Plus de Semiwiki