FPGAهای زیست محیطی پایدار (نوتردام، دانشگاه پیتسبورگ)

FPGAهای زیست محیطی پایدار (نوتردام، دانشگاه پیتسبورگ)

گره منبع: 3031754

یک مقاله فنی جدید با عنوان "FRESH FPGAs: Sustainable FPGA Chiplet Architectures" توسط دانشگاه نوتردام و دانشگاه پیتسبورگ منتشر شد.

چکیده
تقاضای فزاینده ای برای مقادیر بیشتری از قدرت محاسباتی چابک فزاینده برای زیرساخت های لبه و ابری وجود دارد تا نیازهای پیچیده محاسباتی دستگاه های محاسباتی همه جا حاضر را برآورده کند. بنابراین، یک چالش مهم پرداختن به اثرات زیست محیطی جامع این سیستم های محاسباتی نسل بعدی است. برای انجام این کار، یک دیدگاه چرخه زندگی از پایداری برای پیشرفت‌های محاسباتی برای کاهش اثرات زیست‌محیطی مانند انتشار گازهای گرمایش گلخانه‌ای از این انتخاب‌های محاسباتی ضروری است. متأسفانه، تلاش‌های دهه‌ای برای پرداختن به بهره‌وری انرژی عملیاتی در دستگاه‌های محاسباتی، تأثیرات متجسم ناشی از ساخت این سیستم‌های لبه و ابری، به‌ویژه مدارهای مجتمع آنها را نادیده گرفته و در برخی موارد تشدید کرده است. در طول این مدت معماری FPGA به جز افزایش اندازه تغییر چشمگیری نداشته است. با توجه به این زمینه، ما FPGA های REFRESH را برای ساخت دستگاه ها و معماری های جدید FPGA از قالب های FPGA اخیرا بازنشسته با استفاده از ادغام 2.5 بعدی پیشنهاد می کنیم. برای ساخت FPGA های REFRESH نیاز به معماری های خلاقانه ای دارد که از پین های چیپلت موجود با یک interposer ارزان قیمت همراه با اتوماسیون طراحی خلاقانه استفاده می کند. در این مقاله، ما بحث می‌کنیم که چگونه FPGAهای REFRESH می‌توانند از روندهای صنعت برای یکپارچه‌سازی انرژی‌های تجدیدپذیر در مراکز داده استفاده کنند و در عین حال بهبود کلی را برای پایداری و استهلاک سرمایه‌گذاری هزینه تجسم‌شده قابل توجه خود در طول عمر «اول» بسیار طولانی‌تر ارائه کنند.

فنی را پیدا کنید کاغذ اینجا. منتشر شده در نوامبر 2023.

ژو، پیپی، جین مینگ ژوانگ، استفن کاهون، یو تانگ، ژوپینگ یانگ، زینگژن چن، یییو شی، جینگ تونگ هو، و الکس کی جونز. FPGA ها را تازه کنید: معماری تراشه های FPGA پایدار. arXiv preprint arXiv:2312.02991 (2023).

مربوط
اختصاصی در مقابل چیپلت های تجاری
چه کسی برنده است، چه کسی بازنده است، و چالش های بزرگ برای یکپارچگی ناهمگن چند فروشنده کجاست.

تمبر زمان:

بیشتر از نیمه مهندسی